本文主要讲述的是 modelsim与debussy的联合仿真。

前提:已经安装好 modelsim和debussy软件。

步骤:

1、将Debussy安装目录下share\PLI\modelsim_pli54\WINNT\novas.dll拷贝到 questasim安装目录下win32目录下(如果是VHDL,将share\PLI\modelsim_fli54\WINNT\novas_fli.dll拷贝至win32目录下);

2、修改Questasim安装目录下modelsim.ini文件,将Veriuser = veriuser.sl修改为Veriuser = novas.dll (如果是VHDL,修改为Veriuser = novas_fli.dll).

3、产生fsdb 文件需要在testbench文件中写如下代码

initial

begin

$fsdbDumpfile("info_fetch_tb.fsdb");

$fsdbDumpvars;

end

这样在仿真的时候,就可以产生fsbd文件,供debussy调用了。

主要在windows下面,最大只能产生2G大小的文件,所以如果需要存储更多的波形,就可以这样写:

$fsdbAutoSwitchDumpfile(2048, "test_top.fsdb", 100);    //这个意思是每个文件存储2048M的波形,这样的文件存储100个。

modelsim与debussy的联合仿真相关推荐

  1. Quartus 13.0和Modelsim SE 10.1a 联合仿真

    Quartus 13.0和Modelsim SE 10.1a联合仿真 1.首先在Quartus建立工程,编写HDL文件,进行编译:编译通过后编写testbench文件,再进行编译,直到通过没有错误.. ...

  2. ## modelsim与quartus 2 联合仿真,出现Error loading design

    ** modelsim与quartus 2 联合仿真,出现Error loading design ** 第一次用quartus2和modelsim联合仿真,跟着野火的教程来,不过有不想跟他一摸一样, ...

  3. 【FPGA】vivado和modelsim联合仿真

    文章目录 二.遇到的问题 三. 参考资料: 二.遇到的问题 1. 错误一: # ** Fatal: (vsim-3693) The minimum time resolution limit (10f ...

  4. modelsim与debussy联调环境的搭建

    为了方便查看波形,找来了一款软件--debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多 ...

  5. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

  6. debussy和modelsim联合仿真配置

    debussy是一款十分强大的波形查看软件,乃FPGA调试必备杀器之一,下面介绍一下debussy和modelsim联合仿真的配置方法. 1.安装debussy和modelsim软件. 2.复制C:\ ...

  7. MATLAB 与Modelsim之间通过Linker的联合仿真

    Link for ModelSim介绍 ** Link for ModelSim®是一个把MATLAB/Simulink和针对FPGA 和ASIC的硬件设计流程无缝连结起来的联合仿真的接口扩展模块.它 ...

  8. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然 Modelsim 的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足.而进行数字信号处理是 Matlab 的强项,不但有大量的关于数 ...

  9. vivado与modelsim的联合仿真(二)

           最近在做Zynq的项目,曾经尝试使用ISE+PlanAhead+XPS+SDK组合和Vivado+SDK来搭建工程,使用中发现前者及其不方便后者有诸多不稳定.近期得闻Xilinx退出Vi ...

最新文章

  1. mac中使用 sourcetree 的快速配置和git服务器登录
  2. numpy笔记整理 multivariate_normal(多元正态分布采样)
  3. [转][Java]尝试解决Java多行字符串的编辑问题
  4. Oracle中如何插入特殊字符: 和 ' (多种解决方案)
  5. 【面试题 - 最大值减去最小值小于或等于 num 的子数组数量】滑动窗口
  6. 有关Canvas的一点小事—图像绘制
  7. 大佬写的orm框架,mark一下稍后阅读
  8. 39. 恢复旋转排序数组
  9. Django中Python3安装Crypto使用RSA
  10. C#:System.Data.SQLite数据库介绍
  11. JavaGUI版聊天室
  12. 关于网狐游戏vs2003移植到vs2013
  13. cass 河流走向 符号_CASS地形图数据预处理标准
  14. Python视频制作 MoviePy框架视频处理模块
  15. 中国高新科技杂志中国高新科技杂志社中国高新科技编辑部2022年第6期目录
  16. 一转眼,波士顿动力 Atlas 机器人又会过独木桥了!
  17. JAVAweb JSP飞机订票系统航空机票预订销售系统(机票预订系统)网上机票预订飞机订票
  18. 实验吧-Web-天网管理系统
  19. 让机器“一叶知秋”:弱监督视觉语义分割
  20. 计算机访问记录怎么查,电脑上微信有访客记录吗?我们要怎么查看访客?

热门文章

  1. 字符串练习:手机号码屏蔽,身份证号码信息查看,游戏骂人敏感词替换
  2. 如何在matlab中表达点集,matlab练习程序(点集配准的SVD法)
  3. 【Flink实战系列】Flink 提交任务遇到 Server Response Internal server error 怎么排查
  4. eclipse怎么在包里建一个包
  5. 统一社会信用代码(营业执照)和组织机构代码校验规则
  6. [HAOI2008] 排名系统
  7. Keras自定义可训练参数
  8. 烧钱数亿后,趣店罗敏的预制菜业务从兴到衰
  9. Word使用技巧:如何给文档添加手写签名?
  10. NCCL配置多卡运行