Quartus 13.0和Modelsim SE 10.1a联合仿真

1、首先在Quartus建立工程,编写HDL文件,进行编译;编译通过后编写testbench文件,再进行编译,直到通过没有错误。。。

开始仿真设置,进行如下设置

选择 Tools -> Option -> EDA tool Option 设置Modelsim的安装路径;如下图:

注意:是你自己Modelsim的安装路径;Modelsim路径\win32。。。

最底下选项必须钩选。

所有设置均是在Quartus中完成。。。

2、选择 Assignments -> Setting ->EDA Tool Settings -> Simulation,进行仿真设置。

(1) 设置仿真工具Tool name为Modelsim;设置输出网表格式Format for output netlist,(我是Verilog HDL);设置Time scale,(我是1ns) ,选择Compile test Bench;如下图:

(2)设置 ->Test Benches…

新建,-> New…;设置Test bench name:,(我是DDS_TOP_stimulate);勾选Use test bench to perform VHDL timingstimulate;设置你的仿真文件模块实例,(我是uut0);

选择Test bench and stimulation files,你建的testbench,(一般是.v文件)。

如下图:

设置结果:

点击->Apply,-> OK;设置终于结束,有点繁琐吧!>_<

所有设置均是在Quartus中完成。。。

(3)最后点击RTL stimulation, 开始仿真。

赶紧动手探索你的神奇之旅吧,享受仿真成功带来的乐趣!!!

版主刚开始做教程,如有不足,敬请原谅!祝好!

Quartus 13.0和Modelsim SE 10.1a 联合仿真相关推荐

  1. modelsim se 10.1a 下载与破解

    原文地址为: modelsim se 10.1a 下载与破解 学HDL避免不了的要用到modelsim,遗憾的是至今也没怎么用,昨天下载了modelsim装上了,鼓捣破解鼓捣了一阵子. 我是一个软件控 ...

  2. 安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤

    安装Quartus ii 20版本步骤以及Modelsim SE 10版本配置步骤 网盘链接:https://pan.baidu.com/s/1U_sjhdvSKYLSzcmnyZOBBw 提取码:x ...

  3. quartus 13.0 之四位全加器(不需要用modelism的歪门邪道)

    关于quartus 13.0 真是一把辛酸泪,为了广大祖国的花朵不再受到它的摧残,特此把自己的在实验过程中的步骤和常见的错误呈现出来. 一. 关于quartus新建怎样新建工程 二. 半加器 三. 一 ...

  4. quartus 13.0 网络盛传的破解方法勘误(网传大多数破解步骤有误)

    通常来说,网络上流传的可下载破解的quartus 13.0解压缩后包含如下文件. 其中有一部分下载的版本不包含x64的破解器,这样的话,只能破解quartus 13.0的32位版,对于64位的版本是无 ...

  5. modelsim 仿真软件 百度云分享 modelsim se 10.7 10.6d 10.6c 10.5 10.4

    modelsim se 10.7 链接:https://pan.baidu.com/s/1NDC2yMCZmA4bIRSk2dUiTg 提取码:4l1d 复制这段内容后打开百度网盘手机App,操作更方 ...

  6. Modelsim SE 10.4a安装

    作为"家里蹲大学"奇观之一,当然少不了在家做课程设计了.这次用到了Modelsim. Modelsim SE 10.4a下载链接 提取码:llp1 Modelsim是一款专业的HD ...

  7. modelsim与debussy的联合仿真

    本文主要讲述的是 modelsim与debussy的联合仿真. 前提:已经安装好 modelsim和debussy软件. 步骤: 1.将Debussy安装目录下share\PLI\modelsim_p ...

  8. ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解

    从网上搜到的有很多,实践过其中几种,其中一种较简单的方法如下: 1)安装ModelSim 和ISE 并注册破解: 2)将ModelSim根目录下的modelsim.ini文件的只读属性去掉. 3)将c ...

  9. Quartus II 13.0无modelsim进行仿真(用自带仿真器)

    网上都说9.0后面的版本不带仿真功能,但我发现13.0还是有自带仿真. 接下来我用Quartus II 13.0进行一次简单的仿真: 1.新建文件夹test作为工程目录 2.打开Quartus,选择f ...

最新文章

  1. Sicily 1346. 金明的预算方案
  2. mysql 5.6.36安装图解_2017年12月聚合文章--实战-Mysql5.6.36脚本编译安装及初始化 | 码友网...
  3. java中math类方法之数学运算(pow,exp,三角函数,指数)
  4. android初步ui线程案例,android – 它是一个bug还是一个功能?在某些情况下,可以从未在UI线程上运行的任务访问UI线程...
  5. ZooKeeper未授权访问漏洞记录(影响范围:全版本,端口:2181)
  6. Python入门到精通三天速成第二讲——类与继承
  7. 韩顺平html5笔记,2016泰牛程序员韩顺平PHP大牛班HTML5CSS3完整笔记资料.doc
  8. 服务器安装julia_科学网—Julia 在windows下安装说明(国内) - 王虹宇的博文
  9. 一次人大金仓剔除锁经历
  10. 一文读懂自然语言处理NLP
  11. python any函数_python中的any函数是什么?如何使用any函数?
  12. Android KitKat 外部存储权限分析
  13. applicationContext.xml的头文件
  14. 什么?还在用delete删除数据《死磕MySQL系列 九》
  15. MAC 启动jar失败 显示 Unable to access jarfile xxx.jar
  16. PID:智能小车入门(位置式和增量式)
  17. 实验室气体安全与环境监控系统
  18. 第一个java程序HelloWorld
  19. 不是计算机专业可以报名系统集成项目管理工程师吗
  20. 服务器回收信息,旧服务器回收

热门文章

  1. Python实现json转csv格式
  2. <%@include%>和<jsp:include>区别浅谈
  3. android电视设置hdmi输出,利用HDMI线实现多屏显示的设置方法!
  4. 中国内地摇滚歌手及代表作
  5. 使用python,目前最全的Python使用手册
  6. 【ESP32_8266_WiFi (十三)】ESP8266自动配网 – WiFiManager库使用说明
  7. java调用打印机的两种方式
  8. 自考计算机应用毕业证,注意!自考考试通过≠可以拿毕业证!
  9. Oracle查询用户权限角色(dba_sys_privs)
  10. [小说]魔王冢(59)万事俱备