1.设计定义:4个led灯以不同的频率各自闪烁。

2.设计输入:时钟信号,复位信号,led多位输出。

    思路:没有要求流水的效果,所以不需要叠加counter达到某一特定值来位移。只需要让每个灯的闪烁周期不同即可。所以可以直接调用led闪烁模块,设置不同的周期参数来实现。

`timescale 1ns/1ns
module led_flash_dif(clk,reset,led);input clk;input reset;output wire [3:0]led;led_flash  led_flash_1(.clk(clk),.reset(reset),.led(led[0])
);defparam led_flash_1.number = 99999;led_flash  led_flash_2(.clk(clk),.reset(reset),.led(led[1])
);defparam led_flash_2.number = 49999;led_flash  led_flash_3(.clk(clk),.reset(reset),.led(led[2])
);defparam led_flash_3.number = 39999;led_flash  led_flash_4(.clk(clk),.reset(reset),.led(led[3])
);defparam led_flash_4.number = 29999;
endmodule

3.综合

4.综合后仿真,功能验证

`timescale 1ns/1nsmodule led_flash_dif_tb();reg s_clk;reg s_reset;wire [3:0]s_led;led_flash_dif  led_flash_dif_stim(.clk(s_clk),.reset(s_reset),.led(s_led));initial s_clk = 1;always #10 s_clk = !s_clk;initial begins_reset = 1'd1;#100;s_reset = 1'd0;#20001000;$stop;end
endmodule

5.布局布线

6.时序仿真,性能分析

7.板级调试,I/O口连接

注意

1.在一个模块中多次例化同一子模块时,要用不同的例化名称区分开来,而且每次例化的输出也最好用不同的输出位,输出多个端口。如果一直是同个输出端口,虽然不会报错,但一般情况下达不到效果。(模块例化子模块可以用defparam)

2.引脚文件为constraint sources,加入之后要set as target source 才能生效。

3.critical warning为比较重要的警告信息,应该看一看,如下:提示了多个模块用同个输出端口。

4.遇到了:cannot find port '' in this module 的报错,后面又自己好了,没找到原因。

参数化设计(多次调用同一子模块,critical warning,引脚constraint sources)相关推荐

  1. 遇见BUG(3)critical warning也要关注!

    在Vivado调试工程时候,是不是有这么一个习惯(至少是我),只要不是error,哪怕再多的critical warning,也不多看一眼,认为与我无关,只是相应的EDA工具多此一举. 嗯,,,有时候 ...

  2. 关于使用两个GTP/GTX出现[DRC RTSTAT-1]error([route 35-54] critical warning)的问题详解

    目录 1 概述 2 错误具体描述 3 错误分析 1 概述 本文用于记录在用xilinx的FPGA时,使用VIVADO编译两个GTP/GTX IP时出现的[DRC RTSTAT-1]错误的问题,并说明问 ...

  3. Critical Warning: Synopsys Design Constraints File file notfound: 'CMTT.sdc'. A Synopsys Design Cons

    在使用quartus ii进行FPGA开发时,遇到如下警告信息: Critical Warning: Synopsys Design Constraints File file notfound: ' ...

  4. pycharm 中 pydev debugger: CRITICAL WARNING: This version of python seems to be incorrectly compiled

    这里写自定义目录标题 一.在pycharm中,用python3.11时,在Debug调试时出现 pydev debugger: CRITICAL WARNING: 报错 二.调试中出现中文乱码 一.在 ...

  5. vivado报位置约束指令的critical warning

    位置约束指令的critical warning: [Common 17-55] 'set_property' expects at least one object. ["F:/prj/ip ...

  6. [RK3288][Android6.0] 调试笔记 --- Activity多次调用finish()引起的Warning

    Platform: Rockchip OS: Android 6.0 Kernel: 3.10.92 现象: 在调试Launcher重复启动问题的时候遇到一条log,做个记录. W/ActivityM ...

  7. WARNING: [Labtools 27-3413] vivado报错调试界面无信号无波形解决方法

    vivado----fpga硬件调试 (五) ----找不到ila核问题及解决 INFO: [Labtools 27-2302] Device xczu9 (JTAG device index = 0 ...

  8. quartus II Warning 好的时序是设计出来的,不是约束出来的

    一.Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings r ...

  9. quartus2管教锁定出不来_Quartus II 中常见Warning 原因及解决方法(转载)

    1.Found clock-sensitive change during active clock edge at time on register "" 原因:vector s ...

最新文章

  1. foreach 二维java_教你如何用for和foreach循环遍历java中的二维数组
  2. a label can only be part of a statement and a declaration is not a statement
  3. 关于获取oracle中数据变更的时间戳的探索(待更新)
  4. php定时器使用,PHP定时器的说明
  5. popupTheme和theme
  6. Spring MVC框架-持久层用hibernate自动化(1)
  7. 220.存在重复元素III
  8. 提高模型准确率:组合模型
  9. windows如何远程桌面mac
  10. Markov Chain Monte Carlo
  11. sql tuning advisor
  12. JQuery CDN大全
  13. 下落的树叶(UVa699紫书p159)
  14. MyBatisPlus查询时报错,Unknow column ‘id‘ in ‘field list‘,怎么解决?
  15. C语言字符串指针,指向字符串的指针,字符串结束标志
  16. #用vant 写三级联动
  17. 期货从入门到高深系列之手动交易---软件的使用D3
  18. java中履约记录表的实现_工程建设项目标后履约情况记录表
  19. Servlet初识了解和应用
  20. 【C语言】conio.h 函数详解

热门文章

  1. java api从高德地图获取某个位置的经纬度
  2. 机智云明星开发者 | 00后开发者和他的大大创客梦想
  3. 在FlashBuilder里的ActionScript工程中使用Flash CS5中的类 fl.controls库
  4. ngrok内网穿透服务器和客户端
  5. 遥控车Turbo与Boost技术详细解读与设置思路
  6. [python] 取出字典中值最大的2个元素(键-值)
  7. JavaScript日期相关计算
  8. 如何从官网下载KEIL MDK5软件
  9. 游戏开发43课 移动游戏性能优化2
  10. Android点击按键改变按键背景图片-选择器