建立一个VHDL工程环境并综合、编译

双击quartus II 13.1
点击左上角“File”然后点击“new”

并选择VHDL File,后点击OK
会出现以下工作环境
在这里笔者为方便保存并建立工程,以一个二选一数据选择器为例子,进行配置

然后会有是否创建新工程的提示,点击“YES”便可

在这一步直接点击“NEXT”即可,无需其他操作(后面部分步骤也是如此,直接点击“NEXT即可”)


这一步点击“FILE NAME”后的三个点,并选择对应的工程文件,后点击打开,然后点击“ADD”,继续点击“NEXT”

接下来的这一步尤为重要,如果需要在实验开发板上进行验证,一定要选择与之对应的开发板型号,方便后期绑定对应管脚并进行上板上电验证

在选择无误后继续点击"NEXT"

接着下一步时仿真器等选择,在前期选用“.vwf”波形验证文件(在后面会有提到,虚拟仿真板块),剩下的几步直接选择NEXT即可。

在工程配置完成后进行VHDL文本编辑


library ieee;
use ieee.std_logic_1164.all;entity mux211 isport(a,b:in std_logic;s:in std_logic;y:out std_logic);
end entity;architecture one of mux211 is
beginprocess(a,b,s)beginif s='0' then y<=a;else y<=b;end if;end process;
end one;

点击红圈所示的紫色三角形,进行综合编译

在综合后,如有语法错误,则必须返回VHDL文件中修改,而没有错误只有警告可以忽视

接着在“TOOLS”中选择"NETLIST VIEWERS"中可以查看RTL文件,以验证程序是否真的有用。
建立工程和综合编译到这里就结束了,下一篇文章具体讲述虚拟仿真和部分上板验证

欢迎点赞,关注,如有文章上的错误,请在评论区积极指正,感谢阅览!

EDA技术实用教程VHDL篇--Quartus II 13.1实用教程--工程建立相关推荐

  1. Quartus II 13简易仿真教程

    Quartus II 13简易仿真教程 编译项目 新建vwf仿真文件 已知的bug 编译项目 新建vwf仿真文件 filr>new>verification/debugging files ...

  2. Quartus II 13.0sp1 (64-bit)使用教程

    本人大三在学习计算机组成原理,要用到Quartus II 13.0sp1 (64-bit),但是下载安装完以后发现不会用,世界这么大,百度也没有任何收获,啊啊啊,昨天终于会用了,所以写了这个教程,希望 ...

  3. Quartus II 13.0 添加器件库教程(包含下载地址)

    Quartus II 13.0 添加器件库教程(包含下载地址) 记录本人添加器件库的过程,同大家分享. 安装Quartus II 13.0请自行参照 CSDN:https://blog.csdn.ne ...

  4. quartus ii 9.0 安装教程

    quartus ii 9.0 安装教程 安装教程 破解教程 使用说明 下载地址 安装教程 下载好软件安装包,将其解压好,然后双击运行里面的"90_quartus_windows.exe&qu ...

  5. 下载 Quartus II 13.1以及添加cyclone V的器件库

    软件下载地址 链接:https://pan.baidu.com/s/197_GDzjOIRQj-EXFczkgLA 提取码:wang 复制这段内容后打开百度网盘手机App,操作更方便哦 破解方法 下载 ...

  6. Quartus II 13.1(Linux版本)安装

    Quartus II 13.1(Linux版本)安装 需要文件:Quartus II 13.1-Linux安装包.Device库.pj文件 ps :最近因为需要,打算测试下在Linux系统(Ubunt ...

  7. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

  8. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  9. Quartus II 13.1的下载和安装

    文章目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 参考 一.Quartus II的下载 百度网盘下载链接: https://pan.baidu. ...

  10. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

最新文章

  1. 【Flutter】开发之功能篇(七)
  2. 大数据组件需要额外添加的依赖包汇总(持续更新中)
  3. mysql建表时外检怎么创建_MySQL创建表时加入的约束以及外键约束的的意义
  4. Python 二维创建与插入值的二种方法
  5. ++[[]][+[]]+[+[]] == 10 //true
  6. 实现Apriori算法(python)
  7. 生产运维那些事儿-监控篇
  8. 【Linux】04 实验笔记
  9. hdoj4540:威威猫系列故事——打地鼠(dp基础题-数塔思想)
  10. opera档案学习(一)
  11. 英语思维导图大全 数词(八)
  12. Java利用递归算法实现24点游戏
  13. geany怎么创建文件夹_安装 Geany
  14. Linux系统命令与网络、磁盘参数和日志监控
  15. 苹果平板的笔有必要买吗?适用于苹果平板的手写笔推荐
  16. 第十三课功能键之开根号——C#计算器编程教学
  17. A7.2022年全国数学建模竞赛A题-波浪能最大输出功率设计-赛题分析与讨论
  18. SMC压缩空气质量分级及管理——含水量篇
  19. vue引用高德地图实现选择定位,根据经纬度标注功能
  20. 大数据赋能商业地产研策

热门文章

  1. 二十个JAVA程序代码
  2. C语言实现flappy bird(可视化编程)
  3. 现代 JavaScript 教程
  4. WIN10不显示sql2005服务器,win10系统安装sql2005 sql服务无法启动的解决方法
  5. VC知识库的离线包整合
  6. python核心编程第三版课后习题一
  7. Android源码学习 使用Git和Repo进行版本管理
  8. android app 颜色搭配,色采 - 写给大家用的配色 App - Android 应用 - 【最美应用】
  9. visifire3.6.8 去水印方法
  10. python简明编程_python编程最最简明教程