Cadence差分线走线规则

1. 文档背景

a) 差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,差分线

大多为电路中最关键的信号,差分线布线的好坏直接影响到PCB板子信号质量。 b) 差分线一般都需要做阻抗控制,特别是要在多层板中做的各层的差分走线阻抗都一样,这个一点要在设计时计算控制,否则仅让PCB板厂进行调整是非常麻烦的事情,很多情况板厂都没有办法调整到所需的阻抗。 c) Allegro版本升级为15.x后,差分线的规则设定与之前版本有很大的改变。虽然

Allegro15.0版本已经发布很长时间了,但是还是有很多人对新版本的差分线规则设置不是很清楚。

2. Differential Pair信号介绍

差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。 何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。 差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面: a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。

b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。

c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。 ……

由于篇幅问题,这里对差分信号不做深入介绍了。

allegro差分信号走线_Cadence差分线走线规则相关推荐

  1. 差分信号_形象解读差分信号,它比单端信号强在哪?

    一个差分信号是用一个数值来表示两个物理量之间的差异.从严格意义上来讲,所有电压信号都是差分的,因为一个电压只能是相对于另一个电压而言的. 电子学习资料大礼包​mp.weixin.qq.com 一个差分 ...

  2. allegro差分信号走线_高速PCB设计软件allegro16.6演示差分规则的设置

    上一期我们介绍了高速PCB设计软件 allegro16.6差分信号的设定 在高速PCB布线前 需要对差分信号的规则进行设置 因此 本期重点介绍在电气规则和物理规则下 是如何建立差分信号的规则 1.在电 ...

  3. allegro差分信号走线_原创|PCB设计软件allegro16.6演示差分规则的设置

    在弹出 Create Electrical set 对话框. 图1-2电气规则命名 在 Electrical CSet 编辑框输入差分信号规则名称,这时在右边的工作表中可以看到多了一个DIFF_DQS ...

  4. altium 网口差分走线长度_差分信号的优缺点及布线要求

    在高速 PCB 设计中,差分信号的应用越来越广泛,这主要是因为和普通的单端信号走线相比,差分信号具有抗干扰能力强.能有效抑制EMI.时序定位精确的优势.作为一名(准)PCB 设计工程师,我们当然需要充 ...

  5. 什么是差分信号?怎样差分走线?

    1.什么是差分信号? 差分信号是用一个数值来表示两个物理量之间的差异.差分信号又称差模信号,是相对共模信号而言的. 我们用一个方法对差分信号做一下比喻,差分信号就好比是跷跷板上的两个人,当一个人被跷上 ...

  6. 眼图 非差分线_LVDS低电压差分信号简介

    LVDS低电压差分信号简介 1. 名词解释 1.1. 背景 随着数据传输速率越来越高,现在计算机系统中的数据传输接口基本上都串行化了,像USB.PCIe.SATA.DP等等外部总线将并行总线挤压到只剩 ...

  7. 什么叫返回路径平面上的间隙_差分信号回流路径的全波电磁场解析

    差分信号回流路径的全波电磁场解析 差分信号回流路径的全波电磁场解析 xxx究所EDA设计部  xxx 摘要: 本文以高速系统的差分信号回流路径为基本出发点, 着重介绍了差分信号的参考平面的开 槽间隙对 ...

  8. 信号完整性基础05:特殊的串扰-差分信号(2)

    说在开头:关于电子 我们之前聊到了首任卡文迪许实验室主任是大宗师:麦克斯韦:第二任是瑞利男爵:瑞利男爵说:我就干5年,你们谁爱干就干,反正我是不干了.结果找来找去好多成名的物理学家都不肯干,这时有一个 ...

  9. 差分信号,差分对和耦合(三)——奇模偶模、差分阻抗奇模阻抗、共模阻抗偶模阻抗

    奇模和偶模(ODD AND EVEN MODES) 对于一个差分对,如果在一条线中加0V-1V的变化信号,在另一条线加0V的持续信号,随着信号的传输,两条线之间会出现远端串扰,在第二条线上会出现噪声, ...

最新文章

  1. Linux系统日志切割
  2. 成功解决TypeError: ‘encoding’ is an invalid keyword argument for this function
  3. Centos7安装Python3并更改默认版本为python3(编译安装)
  4. 《数据库SQL实战》获取所有非manager的员工emp_no
  5. php配置email支持_使用PHPMailer实现PHP发邮件功能
  6. libwacom9 : Depends: libwacom-common (= 2.2.0-1) but 1.12-1 is to be installed
  7. php中$t=date()函数参数意义及时间更改
  8. 2021-06-0贪吃蛇练习
  9. 阿里巴巴的AI“发动机”
  10. robotium测试
  11. 全国计算机四六级报名时间,2018年6月四六级考试报名即将截止,请抓紧时间报名!...
  12. 百度校招小结:我做技术面试官的一些思考
  13. 为什么要用大写L替换小写L(l),idea提醒literal ‘xxx‘ ends with lowercase ‘l‘
  14. 大数据到底是什么意思?
  15. 倒计时1天!大会议程全公开,论坛介绍看起来
  16. frp实现花生壳+阿里云内网穿透,实现公有IP映射到本地(支持 TCP、UDP、HTTP、HTTPS 等多种协议)
  17. .Net framework初始化错误
  18. 修改Ubuntu的更新源
  19. PMP考纲解读 |【人】任务2—领导团队(三)
  20. 联通签到php,联通营业厅微博双签(云函数自动签到)

热门文章

  1. 中小型企业需用什么样的生产加工管理软件?
  2. 2021版王道数据结构课后代码题全部实现
  3. centos8之systemd管理服务开机自启动
  4. linux系统设置开机启动,linux下设置自己的程序开机自启动
  5. oracle计算本月天数,oracle中计算某月的天数
  6. bat写暴力破解系统密码
  7. 计算机文化基础多选题答案,计算机基础多选题集(附答案)
  8. 降低芯片设计创新门槛——从互联网成功经验看开源芯片生态发展
  9. Android扫描系统文件,安卓文档扫描仪
  10. 5G是什么? --5G