1. 启动仿真:
在putty服务器进入含有vcs_go(编写的编译脚本)脚本文件的路径(工程路径)下,运行./vcs_go脚本makefile
运行结束后,输入dve -mode64打开vcs,在GUI点击open database,打开wave.vpd,可任意添加信号查看仿真结果;

2. 退出仿真:
tb中要写$finish;会直接仿真完成后退出,如果使用$stop,就要使用下面的快捷键退出:
ctrl+z 强制退出
ctrl+c 暂停 出现冒号: 输入q 回车 可退出
推荐第二种方法,安全,完成了整个仿真命令

3. 记录波形文件:
initial begin
$vcdpluson (0,tb_axi);
//等同于$vcspluson;表示将全部波形记录下来
end
&vcdpluson(level_number,module_instance,...|net_or_reg)

level_number:

0-record the entire hierarchy to record

1-record the top_level hierarchy to record

n-record through n-levels of hierarchy to record

module_instance:

specifies module to record

net_or_reg

specifies individual net or register to record

4.脚本内容框架

四个步骤:

1.vlogan 添加仿真库和RTL文件列表

2.vcs编译

3.simv仿真

4.清除
#!/bin/sh -f
######################################################################

rm -Rf csrc/       
rm -Rf Comp_lib/   
rm -Rf simv.daidir/

mkdir -p ./Comp_lib/work/
mkdir -p ./Comp_lib/CME_ver/

TOP_Module="tb_axi"

# vlogan process
vlogan_opts="-full64 -sverilog -lca +v2k -timescale=1ns/100fs"

##------------------------------------------------------------------------------------------------------------------------------------
##          1.SIM Lib Analysis
##------------------------------------------------------------------------------------------------------------------------------------
vlogan $vlogan_opts      CME_Sim_Lib/c1_sim.v                      -work CME_ver

##------------------------------------------------------------------------------------------------------------------------------------
##          2.RTL Analysis
##------------------------------------------------------------------------------------------------------------------------------------

vlogan $vlogan_opts -f filelist.v \"+incdir+../src/\" +define+sg125+den4096Mb+MAX_MEM+x16 -l vcs_an0.log

##-----------------------------------------
#             3.Compile process 
##-----------------------------------------
vcs_opts="-full64 -debug_all -ntb_opts check -lca -l vcs_sim.log -timescale=1ns/1ps +initreg+0"

vcs $vcs_opts $TOP_Module -o simv

##-----------------------------------------
#             4.simulation process 
##-----------------------------------------
simv_opts="-l vcs_simv.log"

./simv $simv_opts +vpdfile+wave.vpd +vpdfileswitchsize+1900

##-----------------------------------------
#           5.Clean tmp files
##-----------------------------------------

#rm     ucli.key
#rm     .vlogansetup.*
#rm     *.log
#rm     simv

以下有其他常用编译选项,注意各个编译选项的顺序,有时出错需要调整。

1) -R 编译后立即运行,即编译完成后立即执行 ./simv

2) -Mupdate 源文件有修改时,只重新编译有改动的.v文件,节约编译时间。

3) -sverilog 打开对Systemverilog的支持,编译Systemverilog文件时使用。

4) -timescale=1ns/1ns 设置仿真精度

5) -o simv_file 编译默认产生的可执行文件为simv,可以使用 -o 更改可执行文件名。

B站有makefile视频教程:https://link.zhihu.com/?target=https%3A//www.bilibili.com/video/BV1dW411n7vk%3Ffrom%3Dsearch%26seid%3D18160544971512013359

快速参考:

https://blog.csdn.net/w40306030072/article/details/26280443

vcs_dve+sverilog相关推荐

  1. verdi使用linux命令,verdi工具的使用

    debussy(verdi之前的Debug工具) verdi:若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通过两个系统调用$fsdbDump ...

  2. VCS user guide读书笔记启发篇

    文章目录 引言 1.Getting Started 2.VCS MX flow 2.1 分析过程 2.2 综合过程 2.3 仿真过程 引言 本文用于总结阅读VCS user guide后的读书笔记,具 ...

  3. Linux下对Verilog文件进行leda检错

    硬件设计中运用Verilog实现的Module编写完成之后 ,要进行下一步leda检错 还用之前的例子来进行说明. 参考1:Linux下Verilog仿真过程(一) 参考2:Linux下Verilog ...

  4. Linux下Verilog仿真过程(一)

    1>新建一个工作目录,以后相关的仿真文件都放在此目录下,如我的目录为:/home/xiaochuan/scr 2>编写模块文件(为了便于管理相关代码文件,可以将代码文件单独放在一个目录下, ...

  5. 在VCS仿真器中使用FSDB

    FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的信号波形.据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快.要用VCS仿真器 ...

  6. Verdi 基础教程

    一.Verdi 功能 查看设计 debug Verdi不能自己产生波形 二.Verdi使用流程 1.Verdi环境配置 .bashrc中配置 export Verdi_HOME=$Synopsys_D ...

  7. System Verilog Assertion for debug

    System Verilog Assertion是非常好的验证方法,通过SVA可以显式描述需要验证的电路逻辑,并且仿真工具可以在仿真的过程中自动输出波形出错信息,从而替代传统的看波形调试方法,提高前端 ...

  8. VCS命令行选项总结简单脚本实例

    本文总结常用的VCS命令行选项,并提供两个简单的脚本,用于简单的仿真.第一个脚本用于笔者学习systemverilog时相关脚本.另一个是VCS+Verdi联合仿真的demo VCS命令行选项总结&a ...

  9. Design Compiler工具学习笔记(6)

    目录 引言 知识储备 实际操作 设计源码 仿真源码 VCS执行仿真 DC 综合 TCL编程练习 引言 本篇继续学习 DC的基本使用.本篇主要学习 DC 综合之后的效果分析,重点在时序分析. 前文链接: ...

最新文章

  1. vue读取redis 值_Jmeter连接Redis,一定很容易学会吧
  2. AI服务器的优势有哪些?人工智能服务器产品有哪些?
  3. 中国电梯行业动态调研与未来发展趋势展望报告2022-2028年版
  4. 在项目中使用 calendar_date_select
  5. C/C++编程心得(二)
  6. linux eclipse svn插件安装,Linux上Eclipse安装SVN插件和安装JavaHL
  7. 浅谈iptables防SYN Flood攻击和CC攻击
  8. 使自己的注意力集中方法
  9. 2017级软件2班安卓应用开发课程主页
  10. Leetcode每日一题:690.employee-importance(员工的重要性)
  11. 【Caffe代码解析】Layer网络层
  12. 计算机二级试题17,《计算机二级考试试题及答案17》.doc
  13. (四)数据建模和数据库设计
  14. 知乎APP---案例分析
  15. 第02章 前馈神经网络
  16. 计算机标准用户英文名称,标准计算机专业英文简历范文
  17. 年化收益率超50%的“白马股基金”,幕后操盘手终于找到了!
  18. 【Java书笔记】:《Redis 深度历险:核心原理和应用实践》分布式锁,延时队列,位图,HyperLogLog,布隆过滤器,漏斗限流,GeoHash,Scan,管道,事务,主从,Redis源码
  19. 三角函数对应在平面坐标上画圆
  20. 写任务,列清单-4D工作法

热门文章

  1. iOS 设备的屏幕尺寸、分辨率及其屏幕边长比例详细情况
  2. 工作疑问之windows EFS加密后重装系统
  3. Spectral Algorithm
  4. mysql三表联合查询(员工表,部门表,工资表)
  5. 淘宝视频内容标签的结构化分析和管理
  6. chrome浏览器怎么模拟手机访问网页(已測OK)
  7. Angular Router的组件路由介绍
  8. 美团和滴滴,跨领域的竞争
  9. 熊猫浏览器panda发布了!Enjoy it ! download! http://redpandas.cn or http://redpanda.sourceforge.net
  10. decltype和拖尾返回类型