文章目录

  • 引言
  • 1、Getting Started
  • 2、VCS MX flow
    • 2.1 分析过程
    • 2.2 综合过程
    • 2.3 仿真过程

引言

本文用于总结阅读VCS user guide后的读书笔记,具体如果以后用到userguide里面的知识可以更快的去查询。由于手册非常全面,长达1000多页码,而且涉及到了与C,SV,VHDL,verilog,所以,不可能一朝一日掌握,在已经具备Verilog的基础上,下面对VCS里面的Verilog部分进行阅读并做好笔记,然后,随着能力不断增强,再逐渐补充,也不失为一种优秀的学习方法。另外,我知道很多人也看过启芯的视频,或者跑过官方的一些简单流程,但我觉得user guide还是有必要看一看。

1、Getting Started


前面一大堆介绍了如何安装,这些不用管,我们已经安装完毕了。
-----------------------------------分界限--------------------------------------
Using the Simulator
VCS MX使用三个步骤来编译、综合、仿真 verilog、vhdl、mixed hdl.
注意:鉴于我们的ubuntu18.04以及安装的vcs2016 必须使用-full64,否则找不到编译器

  • 分析设计analyzing
 vlogan -full64 [ vlogan-option] file.v +v2kvhdlan -full64 [vhdlan-option] file.vhdvlogan -sverilog [vlogan -option] file.sv file2.v
  • 综合设计elaborating
 vcs -full64 [compile-option] file.v +v2k
  • 仿真设计simulating
 simv [run-option]

2、VCS MX flow

2.1 分析过程

分析过程,VCS要做的工作是检查语法错误(syntax errors),VCS会生成一些中间文件,提供给下一级的综合,并会保存这些中间文件。

using vlogan
注意;鉴于安装的系统和软件,务必加上-full64

% vlogan -full64  [vlogan_option] file.v file2.v

option:
【这里举例几个参数】具体可以查看userguide

-help
打印vlogan的信息
-nc
压缩新思科技的版权信息
-q
压缩所有vlogan的信息
-full64
采用64位仿真分析设计。
-l filename.log
制定一个日志文件 ,由于终端显示有限
-sverilog
开启SV。

-timescale=time_unit/time_precision
优先级高于源文件中。

-v library_file
指定Verilog的库文件来搜索module的定义【比如xilinx的库】
-y library_directory
指定verilog库的目录来搜索module

+incldir+directory
制定包含的头文件,你也可以指定多个头文件用+即可

-sverilog
开启sv

-+v2k
开始veriog2001标准

实际例子:

可以看出生成的AN.DB为分析模块后产生的一个中间文件

2.2 综合过程

综合是开始仿真设计的第二步骤。在这一部分,将会使用生成的中间文件,然后生成一个二进制的可执行文件,simv 。这个可执行的二进制文件将会在仿真中用到。
在这个过程,你可以选择优化模式或者debug模式。
官方推荐使用debug模式,再切换到优化模式。
在debug模式中,你还可以需选择部分debug还是fulldebug,这种模式下,VCS的性能不是最好。

句法如下:
鉴于本系统实验平台,务必加上-full64

vcs -full64 [elab-option] [libname.] design_unit

design_unit是设计单元
libname. 你可以指定库
下面看一下选项;具体请查看useguide

搜索Verilog的库
-lib library1[:library2:library3…]
制定库文件搜索,对于没有找到的模块

-full64

以64位模式开启综合和仿真

-file filename
制定一个文件包含了综合时的选项(这个可以不用每次去敲这么多选项,停方便)

-gui
在运行的时候就开启DVE,也就是综合后,立马执行DVE

-R
立即运行可执行文件,一旦VCS链接完成

-l filename.log
指定一个文件用于记录综合后的信息,如果你已经输入了-R选项,VCS会在同一个文件中记录下综合和仿真的信息

2.3 仿真过程

有两种模式,一种是interaction mode(debug mode)
一种是Batch mode(优化模式)
为了以debug模式运行,在综合时候必须加上-debug 或者-debug_all

当你需要debug你的设计时,比如使用DVE或者UCLI时候,你就需要debug模式

现在 VCS具有以下针对debug的选项
-debug_pp
-debug 部分调试模式
-debug_all 开启全部调试

使用优化模式(batch mode)被用于当你的设计已经经过验证,并且没错误,优化模式将会使VCS的运行效果非常好。

VCS user guide读书笔记启发篇相关推荐

  1. 《重构-改善既有代码设计》读书笔记-重构篇

    2019独角兽企业重金招聘Python工程师标准>>> 重构定义 名词 对软件内部结构的一种调整,目的是在不改变软件可观察行为的前提下,提高其可理解性,降低其修改成本.--<重 ...

  2. 计算机英语读书笔记,大学英文读书笔记范文英语读书笔记十篇带翻译.doc

    大学英文读书笔记范文英语读书笔记十篇带翻译 My Room This is my room. Near the window there is a desk. I often do my homewo ...

  3. Python读书笔记-每日篇-20190222|激活码生成器(redis存储)

    问题描述: 做为 Apple Store App 独立开发者,你要搞限时促销,为你的应用生成激活码(或者优惠券),使用 Python 如何生成 200 个激活码(或者优惠券),并将生成的激活码保存到R ...

  4. Python读书笔记-每日篇-20190221|激活码生成器(mysql存储)

    问题描述: 做为 Apple Store App 独立开发者,你要搞限时促销,为你的应用生成激活码(或者优惠券),使用 Python 如何生成 200 个激活码(或者优惠券),并将生成的激活码保存到M ...

  5. 读书笔记第一篇:知乎高赞回答

    自从今年以来,生活发生了极大变化,自己也进入了30大关,开始奔四了.最近总觉得自己一事无成,无论物质还是精神上都没有得到满足,而且自己一个游戏程序员,越来越觉得逐渐被淘汰.所以想到的第一个挣扎的办法, ...

  6. 《软技能》读书笔记——职业篇

    本篇介绍 这一篇是围绕"职业"这个中心进行叙述的.在结束大学生涯进入社会后,一般我们能做出的选择有三个:员工,自由职业者和创业者,这三个角色分别有不同的"职业技能&quo ...

  7. 《软技能》读书笔记——生产力篇

    本篇介绍 本篇介绍的是提高生产力的软技能,首先告诉我们拥有专注的力量是快速提高生产力的必要基础,在培养专注力量的方式上介绍了"番茄工作法"(如果你知道或已经在用这个方法了,请继续坚 ...

  8. VCS User Guide学习笔记【使用精简版】

    VCS_UG学习笔记 除了说明性质的内容,关于命令和仿真,均是默认Verilog语言,暂不考虑SystemVerilog相关的内容. 环境: 运行脚本来验证你的系统配置:syschk.sh 库: 名称 ...

  9. Python读书笔记-基础篇-1.万丈高楼平地起

    随着人工智能领域的兴起,Python可以说集万千宠爱于一身,成了炽手可热的香饽饽.Python作为脚本语言,简单易上手,受到了很多编程爱好的喜爱. 编程语言是相通的,简单是一时的,任何语言的存在都有他 ...

最新文章

  1. 百度2011实习生招聘笔试题
  2. MongoDB的查询整理
  3. 计算机二级msoffice操作题如何评分,2017年计算机二级MSOffice操作题及答案解析
  4. Discuz! $_DCACHE数组变量覆盖漏洞
  5. C/C 输入输出缓冲区
  6. 计算机系统基础 计算机系统的基本组成与基本功能
  7. 学妹惊呼:使用Java8改造后的模板方法模式真的是yyds
  8. NLP之路-Deep Learning in NLP (一)词向量和语言模型
  9. SAP License:OB52等与Client状态相关的前台操作
  10. C#刀那儿特更清晰讲述什么是事件?
  11. hadoop yarn 获取日志_「大数据」「Hadoop」-安装及数据目录
  12. java lambda 原理_Java Lambda表达式原理及多线程实现
  13. 你我贷CTO冯炯:互联网金融的P2P+O2O怎么做?
  14. 拉丁字母发音表及常用指代意义
  15. HTML5网页设计基础——LOGO的制作
  16. JavaScript实现单击切换图片
  17. 苹果手游代充灰色产业深度揭秘
  18. h5滚动时侧滑出现_H5案例分享:移动端滑屏 touch事件
  19. 专家警告全球芯片短缺可能持续到 2022 年之后
  20. CRC16-IBM/MAXIM/USB/MODBUS/CCITT/CCITT-FALSE/X25/XMODEM查表算法

热门文章

  1. PHP文本处理 中文汉字字符串 转换为数组
  2. NOI前总结:点分治
  3. 介绍一下Objective-c常用的函数,常数变量
  4. Hello Android – 迈出android开发第一步
  5. GridView实现用...代替超长字符串
  6. 抓取网页并解析HTML
  7. python中 str.strip()用法
  8. PE文件结构 - NT头学习
  9. C#内存映射文件学习总结
  10. ArcGIS空间分析要点学习