本篇博文是近来总结HDLBits系列的目录,点击蓝色字体即可进入查看具体内容。

HDLBits 系列(1)从HDLBits中获取灵感,整顿自己,稳步前行

HDLBits 系列(2)如何避免生成锁存器?

HDLBits 系列(3)Priority Encoder(Case/Casez)

HDLBits 系列(4)如何设计一定不会产生Latch的组合逻辑?

HDLBits 系列(5)让三元条件运算符(?:)在你的设计中发挥作用

HDLBits 系列(6)(Reduction)缩位运算符

HDLBits 系列(7)对for循环以及generate for的各种实践

HDLBits 系列(8)真值表产生工具推荐

HDLBits 系列(9)Gates and Vector

HDLBits 系列(10)(Mux256to1)多路选择器的固定思维,你别想太多!

HDLBits 系列(11)All about Adder

HDLBits 系列(12)All about Karnaugh Map

HDLBits 系列(13) All about DFF

HDLBits 系列(14) Latch and Dff and Edge detect

HDLBits 系列(15) 如何设计一个双边沿采样的电路?

HDLBits 系列(16)Something about Counter

HDLBits 系列(17) 计数器的级联实现1000分频的分频器

HDLBits 系列(18) BCD码计数器的设计

HDLBits 系列(19) 12小时时钟的Verilog设计

HDLBits 系列(20)移位寄存器(逻辑移位、算术移位、循环移位)

HDLBits 系列(21)LFSR(线性反馈移位寄存器)

HDLBits 系列(22) Shift register

HDLBits 系列(23)3 输入的 LUT

HDLBits 系列(24)进入FSM(有限状态机)的世界入口

HDLBits 系列(25)独热码有限状态机实现的简单方式

HDLBits 系列(26)独热码有限状态机实现的两种方式

HDLBits 系列(27)孰对孰错 之 Fsm onehot?

HDLBits 系列(28)PS/2 mouse protocol(PS/2 packet parser)

HDLBits 系列(29)PS/2 mouse protocol(PS/2 packet parser and datapath)

HDLBits 系列(30)Serial Receiver

HDLBits 系列(31)Serial Receiver and Datapath

HDLBits 系列(32)Sequence recognition(序列检测)

HDLBits 系列(33)Sequence Recognition with Mealy FSM

HDLBits 系列(34)Serial two's complememter(Mealy and Moore FSM)

HDLBits 系列(35)Lemmings Game

HDLBits 系列(36)Arbitration circuit implemented by FSM

HDLBits 系列(37)此系列关于独热码的题目的疑问?

HDLBits 系列(38)值得一看的状态机设计题目

HDLBits 系列(39)求解带有奇校验的串口接收数据的简化电路设计?(求助)

HDLBits 系列(40)如何写 TestBench 文件?

HDLBits 系列(41)根据仿真波形来设计电路之组合逻辑

HDLBits 系列(42)根据仿真波形来设计电路之时序逻辑

HDLBits 系列(43)找 bug 专题

HDLBits 系列(44)状态机补录

HDLBits 系列(ending)此系列我的答案

HDLBits 系列(0)专题目录相关推荐

  1. HDLBits 系列(31)Serial Receiver and Datapath

    目录 序言 原题复现 我的设计 序言 上篇博文: HDLBits 系列(30)Serial Receiver 写了串行接收器如何接收8位串行数据,正确接收8位串行数据后给一个接收完毕标志信号,这篇博文 ...

  2. HDLBits 系列(29)PS/2 mouse protocol(PS/2 packet parser and datapath)

    目录 序言 原题传送 题目解释 我的设计 序言 上篇博客: HDLBits 系列(28)PS/2 mouse protocol(PS/2 packet parser) 只对PS/2 mouse pro ...

  3. Mybatis 系列 0:初恋Mybatis

    Mybatis 系列 0:初恋Mybatis 学习 Mybatis 之前,我们可以通过比较之前使用 JDBC 操作持久层的代码,再次重温一下 JDBC 的噩梦. 首先回忆一下操作 JDBC 的步骤: ...

  4. HDLBits 系列(40)如何写 TestBench 文件?

    目录 序言 变量定义 时钟设计 设计输入 模块例化 实战演练 序言 由于入门的测试文件很简单,所以一直以来也都是直接给出测试文件,直到今天才想着去总结一个测试文件的写法.这篇博客将根据HDLBits的 ...

  5. HDLBits 系列(44)状态机补录

    文章目录 前言 原题复现 题目解析 状态转移图 设计文件 前言 今天补一个状态机的题目,也是这个系列的题目之一,但是由于之前对题目有点疑惑,今天得到博友反馈,让我明白了这个题目的意思,记录一下. 原题 ...

  6. HDLBits 系列(38)值得一看的状态机设计题目

    目录 背景 原题复现 我的方案 状态转移图 我的设计 更新方案 FPGA/IC群推荐 背景 这是这个系列中的一个状态机的题目,但是相比于给了你完整状态转移图之类的题目,这个题目还是稍微有点难的,我实在 ...

  7. HDLBits 系列(26)独热码有限状态机实现的两种方式

    目录 序言 原题复现 设计1 设计2 最后一句话 序言 这篇博客的标题起的,好像就是为独热码而讨论的,其实不然,下面给出一个题目,用任何方式的状态编码都可以,但是我就想讨论下用独热码来实现. 一种写法 ...

  8. HDLBits 系列(17) 计数器的级联实现1000分频的分频器

    目录 原题复现 审题 我的设计 原题复现 原题 From a 1000 Hz clock, derive a 1 Hz signal, called OneHertz, that could be u ...

  9. HDLBits 系列(15) 如何设计一个双边沿采样的电路?

    目录 背景 原题复现 审题 我的设计1 我的设计2 背景 曾经专门写过这个话题,可是今天在练习HDLBits时候,又发现了这个问题,但是以前的思路我已经忘了,不得不回顾. FPGA中如何实现双边沿采样 ...

最新文章

  1. 案例 | 杭州佰勤医疗器械:智办事助力企业组织数字化转型
  2. Android7.1update.zip升级在system/bin下新增可执行文件没有可执行权限问题
  3. NMAP - A Stealth Port Scanner--reference
  4. 综合模拟试题计算机指南,综合全国计算机文管二级模拟试题.doc
  5. logisim优先编码器怎么用_变频电机为什么要用编码器?又该如何选型?
  6. 批量标准化BN方法简介【避免了梯度消失和梯度爆炸、加速网络的收敛、优化网络结构】
  7. Web Hacking 101 中文版 八、跨站请求伪造
  8. 【clickhouse】clickhouse Exception: Table is in readonly mode
  9. LoadRunner截取字符串操作
  10. 汉字,五笔,拼音的转换
  11. C/C++中struct/union/class内存对齐
  12. CRM运维工程师主要职责
  13. android traceview工具,[Android]Android TraceView工具使用
  14. ICML2021会议论文整理
  15. 2021-07-10树莓派PWM控制三极管(S8050)实现风扇调速
  16. 生命计算机在线,抖音生命计算器在线测试
  17. 华为路由器交换机常用命令(随时补充更新)
  18. 编译、汇编、翻译原理知识概括
  19. 黑马程序员————IO流------(3)
  20. 康耐视VisionPro工业标定

热门文章

  1. Objective-C中使用方法名调用方法
  2. DNS浅析-Bind软件的使用及搭建一个简单的DNS缓存服务器
  3. 测试人员如何赢得开发人员的尊重
  4. 无锡初一计算机试题,2015年无锡市初中信息技术考查选择题.doc
  5. linux命令作为子进程标记,Linux基础命令---显示进程ps
  6. java清空字符串_java面向对象,垃圾回收机制
  7. SQL游标(cursor)详细说明及内部循环使用示例
  8. 对IOC,AOP的理解
  9. python计算数据百分比_概率计算:定义概率分布数据结构,Python实现概率分布计算...
  10. linux追踪tomcat报错信息,linux下tomcat服务的启动、关闭与错误跟踪