目录

1.Debussy安装

新增说明:请先阅读《2.Debussy安装 与 modelsim与debussy联调环境的搭建》再返回来看这篇文章的具体步骤,目前已完全解决问题! 博客链接:http://t.csdn.cn/851oY

说明:这里是针对ModelSim win32平台下的Debussy+Modelsim,目前我们的机器中都是ModelSim Win64,但是修改使得win64下能用,也建议走一下win32的过程,因为目前的教程都是在win32失效的情况下继续的,感觉win32的步骤不能缺!

2.modelsim与debussy联调环境的搭建

3.测试软件

查找原因——不能按照32位机的要求来配置

解决办法放到下一篇博客中


安装包、crack下载:链接: https://pan.baidu.com/s/1UvrJpxD_aD3yT5uqB6e5Mw?pwd=Sean 提取码: Sean

1.Debussy安装

新增说明:请先阅读《2.Debussy安装 与 modelsim与debussy联调环境的搭建》再返回来看这篇文章的具体步骤,目前已完全解决问题!博客链接:http://t.csdn.cn/851oY

说明:这里是针对ModelSim win32平台下的Debussy+Modelsim,目前我们的机器中都是ModelSim Win64,但是修改使得win64下能用,也建议走一下win32的过程,因为目前的教程都是在win32失效的情况下继续的,感觉win32的步骤不能缺!

下载文件中的**Debussy使用教程.doc**——针对Win32的Modelsim

为了方便查看波形,找来了一款软件——debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件。而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多时间,但modelsim的编译仿真功能很强大。那何不把它们的优点结合起来呢?从这个目的出发,下面即将介绍modelsim与debussy联调环境的搭建。首先是modelsim和debussy软件的安装。由于前面已介绍过modelsim的,故这里只介绍debussy软件的安装过程。

1、进入debussy安装包目录下,可以看到安装执行文件Debussy-54v9-NT.exe和破解文件crack,如图1所示。进入crack目录下,可以看到破解文件,如图所示。

2.点击Debussy-54v9-NT.exe开始软件的安装,在弹出的对话框中选择安装路径(可根据实际情况选择硬盘路径)。

3.选择安装目录,点击Next,弹出询问“文件不存在,是否创建它?”的对话框,选择Yes。

4.安装类型选择,有三个选项,分别为Typical(典型的,安装大部分的组件)、Compact(精简的,安装最小组件)和Custom(定制的,可自行选择安装组件),这里选Typical。

5.点击Next、Next,出现安装进程的对话框,如图所示。

6.等安装进程结束后,出现安装完成界面,如图所示。点击Finish,完成debussy的安装。

7.安装过程中弹出了如下界面,可以将启动程序发送到桌面

8.在破解软件之前,debussy是打不开的。

安装完成,先不要打开软件,然后把crack.exe拷贝到安装目录下的bin文件夹里面(不拷贝到这里也可以,我是在安装程序的目录里面执行的crack.exe文件也没有出错);
将下载dll文件,并放在C:\Windows\SysWOW64下面(我没有去放,所以我也不知道第三张图中的dll文件是否正确,因为我直接看我的目录下,不知道是不是以前装过什么软件,有一堆的类似的dll文件)

双击执行crack.exe,弹出和谐对话框,如图操作!在弹出的对话框中,选择Browse...,找到安装目录下的debussy执行文件(大家可根据具体情况进行选择)。
点击Crack,等待弹出:Crack Success,代表破解成功,然后关闭该软件即可;

破解成功,将弹出对话框。最后关闭破解软件,此时就可以打开debussy软件了。

2.modelsim与debussy联调环境的搭建

1.将debussy的安装路径添加到电脑用户变量path中。在用户变量栏中选择path再点击“编辑”,再加debussy的安装路径,最后确定、确定、确定完成环境变量设置,

2.将D:\D\EDA\Debussy\share\PLI\modelsim_pli\WINNT中的novas.dll文件复制到modelsim安装目录下的win64(我的电脑装的64位的)文件内。

3.去掉modelsim安装路径下modelsim.ini只读属性并打开(这里用notepad++,也可以用其他编辑器打开)

4.将modelsim.ini中添加“Veriuser=novas.dll”并勾上只读属性
    Veriuser = novas.dll

5.为了可以在testbench中通过PLI接口调用debussy的系统函数

将D:\D\EDA\Debussy\share\PLI\modelsim_pli54文件夹复制到modelsim安装路径下(这一步与有些人的教程不一样,后面也会有不同)

在计算机环境变量中的用户变量栏新建变量名D_LIBRARY_PATH,变量值如下。

在计算机环境变量中的用户变量栏新建变量名PLIOBJS,变量值D:\D\EDA\ModelSim\win64\modelsim_pli54\WINNT\novas.dll,点击确定、确定、确定完成modelsim与debussy联调环境的搭建。

3.测试软件

1.第一张图中,我们下载的Debussy中有一个“Debussy_example”的文件夹,其中有一个简单的例子。

2.右键,编辑run.bat文件,修改Debussy软件的位置、修改Modelsim的vsim.exe的位置,修改完成后保存。

3.双击运行run.bat,能打开Debussy,但是报错!

查找原因——不能按照32位机的要求来配置

参考博客:http://t.csdn.cn/5Dgs3

解决办法放到下一篇博客中!

1.Debussy安装 与 modelsim与debussy联调环境的搭建相关推荐

  1. 2.Debussy安装 与 modelsim与debussy联调环境的搭建

    前言:因为我跟着学习的老师,使用的是行业内很久以前在用的联调工具,因为目前我们的机器中都是ModelSim Win64,无法实现Debussy与Modelsim联调实现 nWave的功能,又作者这样做 ...

  2. modelsim与debussy联调环境的搭建

    为了方便查看波形,找来了一款软件--debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多 ...

  3. Linux的安装与Linux下PHP开发环境的搭建(LAMP)

    Linux的安装以及PHP环境的搭建 引言: 对于沉迷于windows数年的用户(比如笔者)来说,Linux中的红帽和utunbu虽然看起来和windows比较像,但是操作起来却是大不相同,需要一个适 ...

  4. unittest安装教程_unittest框架与自动化测试环境的搭建

    1.unittest框架简介 首先以selenium IDE录制的一段代码为例(脚本的录制请参考其他文档),说明unittest框架的使用. 图 1 要使用unittest框架,首先需要import ...

  5. modelsim与debussy的联合仿真

    本文主要讲述的是 modelsim与debussy的联合仿真. 前提:已经安装好 modelsim和debussy软件. 步骤: 1.将Debussy安装目录下share\PLI\modelsim_p ...

  6. ModelSim 与Debussy联调

    ModelSim 与Debussy联调 seuchenrui@126.com Windows环境下的Verilog仿真调试工具,也就那么几个: Mentor的ModeLsIM Xilinx的ISIM ...

  7. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

  8. 【modelsim和debussy】设置

    1.debussy版本对应的是debussy 5.4V9,modelsim使用的是10.1a 2.需要注意64bit的modelsim,如64bit-10.2C和debussy不兼容,无法识别nova ...

  9. cadence安装完怎么打开_Linux 环境下Vivado与Cadence仿真工具联合仿真环境的搭建

    在之前本公众号写过两篇关于工具更新对仿真调试提高效率的文章,[干货]推荐一款FPGA仿真调试鸟枪换炮的工具!本文就介绍其中一种仿真环境的搭建过程.后续还有VCS+Verdi环境的安装介绍,敬请期待. ...

最新文章

  1. Flex+fluorineFx +ASP.NET开发的IIS部署
  2. testng入门_单元测试
  3. kvm.huge页、常用命令和桥接设置
  4. WPF基础入门 - 1
  5. Boost:字符串的RLE压缩的测试程序
  6. 这些棘手的Java面试题,答案你都知道吗?
  7. 博为峰Java技术文章 ——JavaSE Swing焦点事件的处理
  8. 浏览器分辨率使用排名以及不同分辨率下的网页测试
  9. 消控中心人员配置_消控室的设置要求有哪些?
  10. 567LH-DP24 驱动器 REO ELEKTRONIK NC系列
  11. word里如何在□里打√!框框里打勾!!!!!
  12. bom成本分析模型_如何计算一台汽车的BOM成本?
  13. IOS界面push跳转后navigationController不显示
  14. RocketMQ避坑指南:java后端开发电脑配置
  15. 盘点那些计算机相关名词(二)
  16. 类似 迈图7608 Monentive7608 上海荟研 高新材料 印刷油墨 合成革离型纸 水性涂料基材润湿剂 超润湿剂资料
  17. c语言自动填表chrome网页,教你设置Chrome浏览器里的自动填表功能
  18. XXX could not be redeployed because it could not be completely removed in the un
  19. 火星存在大型地下水系统,火星或曾是一片海洋
  20. 2021年中国二次元手游市场现状分析,米哈游《原神》引爆全球手游市场「图」

热门文章

  1. 零基础《7天学会PPT》系列教程(WPS版)—— 第3天 动感炫酷
  2. 6页的试卷怎么打印?想要打印试卷去哪里打印
  3. 常用的爆款抓包工具综合对比(选择最合适的抓包工具才是最重要的!)
  4. 计算机专业黑板报迎新,迎新生黑板报内容
  5. 什么是LAB和FAB
  6. 绝地求生java雷达,绝地求生超级雷达工具
  7. rattle安装问题GTK+:自下载、设置GTK环境包
  8. oeasy教您玩转vim - 78 - # 操作系统文件格式 fileformat
  9. HDFS学习笔记 【Namenode/DN管理】
  10. 操作被占用的文件-unlocker机理分析(转)