ModelSim 与Debussy联调


seuchenrui@126.com

Windows环境下的Verilog仿真调试工具,也就那么几个:

  • Mentor的ModeLsIM
  • Xilinx的ISIM

Linux系统下的Verilog调试工具较多,比如,VCS,NC-SIM, NC-VERILOG等。一次偶然的机会,鄙人有幸见识到了Windows环境下的Debussy,立马感觉这就是我想要的调试工具,既可以抓波形,又可以追信号。
但是,这个工具不具备编译功能,因此需要借助于Modelsim生成VCD文件,然后将VCD文件转换为FSDB文件,再由Debussy载入此文件抓波形,追信号。

以下是ModelSim和Debussy联调时所需的脚本,每次运行只需要双击即可完成所有操作。

::关闭回显
@ECHO OFF
::设置软件路径
::------------------------------------------
SET debussy=D:\Novas\Debussy\bin\Debussy.exe
SET vfast=D:\Novas\Debussy\bin\vfast.exe
SET vsim=D:\modeltech_10.1a\win32\vsim.exe ::ModelSim Command
::------------------------------------------
%vsim% -c -do sim.do ::将VCD转换成FSDB
::------------------------------------------
%vfast% module_name.vcd -o module_name.fsdb::删除ModelSim生成的相关文件
::------------------------------------------
RD work /s /q
DEL transcript vsim.wlf /q ::Debussy Command
::------------------------------------------
%Debussy% -f rtl.f -ssf module_name.fsdb -2001 ::删除波形文件
DEL Debussy.fsdb /q
::删除Debussy生成的相关文件
RD Debussy.exeLog  /s /q
DEL novas.rc /q
::退出命令行
EXIT

上述脚本中包含了一个ModelSim仿真脚本sim.do,该脚本用于编译Verilog文件,然后仿真。所有待编译的Verilog文件均放在了rtl.f文件中。

vlib work
vlog -f rtl.f
vsim -novopt work.tb_module_name
run -all
quit

上述rtl.f文件描述如下

rtl/module_name.v
rtl/tb_module_name.v

另外,还需要注意的是,需要在testbench文件中增加以下几行代码,用于命令ModelSim将仿真数据导出到vcd文件中。

initialbegin$dumpfile("module_name.vcd");$dumpvars;end

ModelSim 与Debussy联调相关推荐

  1. modelsim与debussy联调环境的搭建

    为了方便查看波形,找来了一款软件--debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多 ...

  2. 1.Debussy安装 与 modelsim与debussy联调环境的搭建

    目录 1.Debussy安装 新增说明:请先阅读<2.Debussy安装 与 modelsim与debussy联调环境的搭建>再返回来看这篇文章的具体步骤,目前已完全解决问题! 博客链接: ...

  3. 2.Debussy安装 与 modelsim与debussy联调环境的搭建

    前言:因为我跟着学习的老师,使用的是行业内很久以前在用的联调工具,因为目前我们的机器中都是ModelSim Win64,无法实现Debussy与Modelsim联调实现 nWave的功能,又作者这样做 ...

  4. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

  5. modelsim与debussy的联合仿真

    本文主要讲述的是 modelsim与debussy的联合仿真. 前提:已经安装好 modelsim和debussy软件. 步骤: 1.将Debussy安装目录下share\PLI\modelsim_p ...

  6. ModelSim+Debussy联调

    一. 概述 Debussy是NOVAS Software, Inc ( 思源科技 )发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能 ...

  7. 【modelsim和debussy】设置

    1.debussy版本对应的是debussy 5.4V9,modelsim使用的是10.1a 2.需要注意64bit的modelsim,如64bit-10.2C和debussy不兼容,无法识别nova ...

  8. modelsim与debussy联合的问题

    最近用modelsim仿真,老出现Warning: (vsim-PLI-3003) F:/project/net_cfg/test_top.v(148):[TOFD] - System task or ...

  9. ModelsimSE debussy

    ModelsimSE & debussy FPGA仿真 现在用的是quartus与modelsim-altera的联调,似乎还是可以的,但就是每次稍微改一下代码,想要添加一些输出信号的时候,又 ...

最新文章

  1. arduino蓝牙通讯代码_「Arduino」OLED屏使用教程,显示内容听谁的?我不管,听我的...
  2. 计算机考研379分能进复试吗,考研423分复试被刷,因低级错误错失985大学,这种教训非常深刻...
  3. ORACLE 数据迁移
  4. 蓝绿发布、滚动发布、灰度发布,有什么区别?
  5. SqlServer自定义排序
  6. 数据结构之中缀表达式实现计算器
  7. asp.net findcontrol html控件,findcontrol-在ASP.NET中查找控件的更好方法
  8. e2 android,魅蓝E2做工怎么样?魅蓝手机E2拆机全过程图解
  9. 基于visual Studio2013解决C语言竞赛题之0203格式化输出
  10. 单例模式中的多线程分析synchronized
  11. Java程序设计(基础)- 概述
  12. 如何添加虚拟PDF打印机
  13. 高中数学培训高一数学提分技巧
  14. Educational Codeforces Round 49 (Rated for Div. 2)切题报告
  15. hdfs datanode 清除回收站的命令
  16. 快速原型设计工具(Axure)元件库导入
  17. c语言编写音乐播放器完整代码(mciSendString函数的使用方法,第一次使用Visual Studio 2019的详细步骤)
  18. 计算机桌面不满屏怎样解决,图文教您电脑屏幕显示不满屏【操作措施】
  19. 5分钟通俗易懂了解什么是云存储
  20. 云计算介绍,让你更了解云计算

热门文章

  1. Python利用Matplotlib绘图无法显示中文字体的解决方案
  2. html免费问答系统模板,tipask问答系统模板文件对照表详解
  3. 《大话西游》你真的看懂了吗?
  4. SpringBoot整合elasticsearch (java整合es)
  5. PCL点云库(2) — IO模块
  6. idea集成泛微axis拉取代码时报错,Axis1.4完整maven jar
  7. 时尚html输入框,12款经典时尚的HTML5应用
  8. 香港中文大学-人脸识别进展-2014-06
  9. 异步电机三相电流滞环矢量控制
  10. 【pd读取csv文件踩坑】读取csv文件时报错:UnicodeDecodeError: ‘utf-8‘ codec can‘t decode byte 0xb5 in position 0