对于reset 和clock这种大扇出的信号来说,必须要人为设置为ideal network,不要让DC动它,因为线负载模型对于这些大扇出的情况估算不准。


如果不添加don’t touch属性的话,DC会在很长的一根线上插入很多负载。

一般set_ideal_network 的对象是port或者pin,net也有,比较少见。
全是ideal network的信号经过一些逻辑还是ideal的,如果有一个不是ideal的,那输出就不是ideal的。

下面这条语句的意思是驱动这个net的所有的pin或者port是理想的。
针对net设置的有另外一条命令:set_ideal_net


设置为ideal network之后,可以选择设置latency 和transition ,像set_clock_latency之类

.ddc:交给后端工具ICC
.def:交给做DFT的
.v:交给做后仿的
.sdc:约束(standard design constraint)

sdc:约束,其它公司的EDA工具做后端的时候会用到这个文件,只有synopsys的后端工具才能读入自家的ddc文件。


SCAN-DEF.def存放了一些关于扫描链的信息。做DFT的会用到。


.v网表文件可能会综合出assign语句,这是不正常的。应该都是用单元例化的形式。
(tri类型的不要用,很可能生成这种assign语句。)
怎么让DC把它弄掉:
【多端口连线】

同一个输出写了两个端口会导致网表里出现assign语句。
端口输入直接连到了输出。
内部固定接到0或者1的(常数)。

增加这样一个命令:set_fix_multiple_port_nets -all -buffer_constants
插入一些buffer,不用net直连。

针对tri产生的问题:

(强制把代码中的tri转成wire。)

【特殊字符】

打开看网表的时候,经常在总线的前面加入一些反斜杠表示,对其他的工具而言,可能不认识。在保存的时候要把网表给拿掉。


compile之后、保存网表之前加入change_names命令
-rules verilog表示按照verilog的命名规则去命名

【【实操】】


这里有条命令,在设置输出的外部延时的时候:
set_isolate_ports设置隔离单元
在输出的时候插入buffer让输出端口和内部隔离开。
不加这个选项:当电路出现反馈结构的时候,输出端会影响电路的结果。


Step12:
第一条:不要用tri,如果用了,转换为wire类型的
第二条:如果某一个端口,没有用到,在报告的时候也显示出来

sdf文件长这样:


(把端口(all_outputs)展开成最基本的信息)

sdf文件:
时序信息

如果不用change_names,综合一些总线就会出现这样反斜杠的东西:

数字逻辑综合工具-DC-11——一些其它命令和综合之后的文件相关推荐

  1. DC课程笔记-数字逻辑综合工具-DC Synthesis Optimization Techniques

    文章目录 课程内容笔记00:00开始至 本节视频来源于DC视频教程,本节主要约束环境 课程内容笔记00:00开始至 芯片的输出端口要驱动一个负载,如何在DC综合时候就模仿在流片回来后的输出管脚的负载, ...

  2. DC课程笔记-数字逻辑综合工具-DC Environment Attributes

    文章目录 课程内容笔记46:57开始至末尾 本节来源网络视频笔记 主要介绍DC的时序timing约束 课程内容笔记46:57开始至末尾 移除设计 remove_design -hierarchy 查看 ...

  3. 数字逻辑综合工具-DC-04 ——怎样增加时序约束(Timing Constraints)

    数字逻辑综合工具-DC-04 --怎样增加时序约束(Timing Constraints) 逻辑综合的过程为:转化 优化 映射 另外还有环境约束和面积约束 时序有三个大的方面 input logic ...

  4. 数字逻辑综合工具实践-DC-07 ——综合优化(二)和RTL coding 和DFT

    数字逻辑综合工具实践-DC-07 --综合优化(二)和RTL coding 和DFT 主要内容: 1. Pipeline优化 2. RTL设计时的一些注意事项 3. DFT简介 (DFT是后端里很重要 ...

  5. 数字逻辑综合工具-DC-06——综合优化过程

    数字逻辑综合工具-DC-06 --综合优化过程 编译的策略:Top-down (做设计有两种策略:top-down 和 bottom-up) 设计一定是一种层次化的结构,一层一层地去例化 Top-do ...

  6. 数字逻辑综合工具实践-DC-08——静态时序分析(STA)

    数字逻辑综合工具-DC-08 时序分析 综合结束之后,如何确定这个网表能不能用. [Timing Reports] report_timing Incremental 信息包含了Net 和 Cell一 ...

  7. 11.Linux文件管理命令---diff比较两个文件

    11.Linux文件管理命令-diff比较两个文件 文章目录 diff比较两个文件 练习案例 diff比较两个文件 作用:找出两个文件的不同点. 用法:diff [选项] 源文件 目标文件 主要选项如 ...

  8. 数字逻辑综合工具实践-DC-10——CDC约束

    [分频时钟input delay] (找短的间隔) [多时钟output delay] 记得要用add_delay 也是找短的 [时钟之间的uncertainty] 模仿两个时钟之间的偏移量 要用-f ...

  9. 逻辑综合工具DesignCompiler使用教程

    逻辑综合工具Design Compiler使用教程 图形界面design vision操作示例 逻辑综合主要是将HDL语言描述的电路转换为工艺库器件构成的网表的过程.综合工具目前比较主流的是synop ...

最新文章

  1. Python:numpy生成正态分布的平均数
  2. AI 与区块链:两大热门技术,会碰撞出什么样的火花?
  3. react-router browserHistory刷新页面404问题解决
  4. 微信链接非80端口问题解决方案(伪处理)
  5. Arduino control Eeprom by IIC method of using device address in Arduino
  6. leetcode 80. 删除有序数组中的重复项 II
  7. 游戏窗口组合键消息失败_5失败的投资组合,以后我在这里
  8. Route Class
  9. gcc/g++ 使用 tricks
  10. paip.网站上传服务里需要做的操作流程V2012.10.2
  11. KTV房间一直显示连接服务器失败,KTV点歌服务器死机、卡歌、蓝屏的解决办法
  12. 氨氮吹脱法脱与php有关系吗,吹脱法处理氨氮废水
  13. Ubuntu学习笔记6-ESP32接收并处理cmd_vel话题
  14. ardupilot java_基于Eclipse IDE的Ardupilot飞控源码阅读环境搭建
  15. torch模块常用方法总结
  16. SABER 最强大的数模混合信号仿真软件
  17. kali Linux2019 安装(中文)搜狗输入法
  18. 数据库三大范式的理解
  19. 游戏运营分析项目(1)
  20. 【硬件工程师职业细分】揭秘硬件基带工程师

热门文章

  1. 零售业新模式,这是可以做吗?
  2. leetcode系列374-猜数字大小
  3. 为什么找不到用户和计算机名,win10电脑“本地用户和组”找不到该怎么办啊?...
  4. SSCI(社会科学引文索引)介绍
  5. 汇总:Linux下文件操作接口
  6. Imx283 Uboot2017+Linux3/4/5系统内核移植
  7. TrimmerVideoView (仿照微信视频剪切压缩功能)
  8. 5 个最适合 Windows的命令行/控制台替代品
  9. Dust3D开源项目分析——渲染与材质部分 | 顶点数据结构
  10. 说说自己理解的web架构