数字逻辑综合工具-DC-08
时序分析

综合结束之后,如何确定这个网表能不能用。

【Timing Reports】
report_timing


Incremental 信息包含了Net 和 Cell一起的delay
(这两个延迟也可以分别报告)

【report_timing的一些选项】

-input_pins选项 将线延时和单元延时的信息分别汇报出来
-nets选项 用来报告连线上所挂载的负载个数
-significant_digits number 显示小数点个数(最多13)

report_timing -max_paths 2(看两条路径)(上图的情况,会报-0.3和-0.15)
只能看到两个终点不同的路径。看到的并不是最差的路径,有可能最差的两条路径的终点是相同的。
report_timing -nworst 2 -max_paths 2(-0.3,-0.25)

【report_constraint -all_violations】

面积违规和hold time违规不是很重要,可以修掉或者不在乎
更关注的是delay上的违规

这里的violation并不一定是真正的violation。比如,在设置input delay的时候约束加的太紧了,

例子:左边的外部延迟太紧了

有可能由于左边的时序不满足要求,导致了右边的电路不能够得到优化。
可以采取一些措施:group分组,设置一个critical_range、-weight提高权重

【【一点点STA】】
DC的内建的静态时序分析工具

1、 把所有的电路结构分成timing paths
2、 全部算一遍
3、 判断跟期望的是不是符合


路径分组:终点的D输入端属于哪个clock 就是哪个组

单元延迟:输入信号的转换时间和输出的负载
线延迟:线负载模型,还根据拓扑结构算


上升沿和下降沿的时间是不一样的。DC会把两个都算一遍。

【演示】
report_timing

这里的r表示上升延迟,f表示下降延迟

report_timing -input_pins

report_timing -max_paths 2

report_timing -max_paths 2 -nworst 2

(这时报出来了两条终点不一样的路径)

report_timing -significant_digits 4

如果发现某些路径有violation,但是并不是很大,在约束里面分组

(用remove_design 清除,然后可以修改约束再source -v -e …)

report_timing -loops
检查有没有写出组合逻辑环,这将会生成latch

数字逻辑综合工具实践-DC-08——静态时序分析(STA)相关推荐

  1. 数字逻辑综合工具实践-DC-07 ——综合优化(二)和RTL coding 和DFT

    数字逻辑综合工具实践-DC-07 --综合优化(二)和RTL coding 和DFT 主要内容: 1. Pipeline优化 2. RTL设计时的一些注意事项 3. DFT简介 (DFT是后端里很重要 ...

  2. 数字逻辑综合工具实践-DC-10——CDC约束

    [分频时钟input delay] (找短的间隔) [多时钟output delay] 记得要用add_delay 也是找短的 [时钟之间的uncertainty] 模仿两个时钟之间的偏移量 要用-f ...

  3. 数字逻辑综合工具-DC-04 ——怎样增加时序约束(Timing Constraints)

    数字逻辑综合工具-DC-04 --怎样增加时序约束(Timing Constraints) 逻辑综合的过程为:转化 优化 映射 另外还有环境约束和面积约束 时序有三个大的方面 input logic ...

  4. 数字逻辑综合工具-DC-06——综合优化过程

    数字逻辑综合工具-DC-06 --综合优化过程 编译的策略:Top-down (做设计有两种策略:top-down 和 bottom-up) 设计一定是一种层次化的结构,一层一层地去例化 Top-do ...

  5. 数字IC设计学习笔记_静态时序分析STA_ STA基本概念

    数字IC设计学习笔记 STA基本概念 1. STA基本概念 2. 时序弧概念 Timing Arc 3. 建立时间和保持时间概念 4. 时序路径概念Timing Path 5. 时钟域概念clock ...

  6. 数字 IC 笔试面试必考点(12)静态时序分析 STA

    正文 静态时序分析 STA(Static Timing Analysis)         也称静态时序验证,是一种以与输入激励无关的方式进行的,其目的是通过遍历所有的传输路径,寻找所有的组合逻辑电路 ...

  7. 数字IC设计学习笔记_静态时序分析STA_ PrimeTime 基本概述

    数字IC设计学习笔记 PrimeTime 基本概述 1. PrimeTime 基本概述 2. 运行模式 1. PrimeTime 基本概述 PrimeTime,Synopsys公司提出的,针对于复杂的 ...

  8. 数字IC设计学习笔记_静态时序分析STA_伪路径False Paths

    数字IC设计学习笔记 半周期路径Half Cycle Path 1. 伪路径False Paths 2. 如何处理False Paths 1. 伪路径False Paths 在设计中,有些路径是不可能 ...

  9. 静态时序分析(STA)

    静态时序分析 STA-1. 从一个反向器开始说时序 静态时序分析(Static Timing Analysis, 以下统一简称STA)是验证数字集成电路时序是否合格的一种方法,其中需要进行大量的数字计 ...

最新文章

  1. 2016多校赛2 A 数学推公式 E 极角排序,组合数(待补) L dp+bitset优化
  2. 数组中子数组的最大累乘积
  3. 结束SQL阻塞的进程
  4. Little Boxes UVALive - 8209
  5. oracle内存锁,Oracle OCP之硬解析在共享池中获取内存锁的过程
  6. linux脚本done报错,很简单的脚本程序,总是报错
  7. 分享2款XSHELL配色方案及导入配色方案的方法
  8. jQuery 开始动画,停止动画
  9. cxGrid 怎样才能让不自动换行 WordWrap:=false
  10. vSphere与Workstation虚拟机交互的几种方法(四)
  11. 图像目标检测识别,计算物体个数,针对电子元器件计算
  12. ps基本操作--渲染--光晕和光照效果
  13. [Mac] 安装软件时,出现 Waiting for other installations to complete
  14. 网上看到了一个关于黑客的练习方式
  15. 【基础知识】HTML5 Canvas小项目时钟的简单实现(图文、演示)
  16. 系统解剖学 | 心血管系统 | 静脉
  17. 【线段树】2019雅礼集训 sequence
  18. 一文讲懂页面置换算法,带例题详解
  19. 2021上海益生产品(益生菌)展浅谈-益生菌对身体的21个好处
  20. 安装和使用jupyter notebook

热门文章

  1. a-za-z-9_P-ZA的完整形式是什么?
  2. solidworks重建模型好慢_SolidWorks2019启动打开超级慢太慢好几分钟怎么解决?溪风老师支招啦...
  3. 怎么改变计算机的黑屏,电脑调整分辨率最大会黑屏的解决方法
  4. 【妄言之言】我的2016--困境与选择
  5. 互联网出海现在还是风口么?
  6. 如何删去微信小程序服务器,微信小程序怎么注销
  7. 宠物领养管理系统|宠物寄养管理系统JAVA|JSP|SSM|Springboot|web计算机毕业设计源码
  8. 计算机毕业设计Python+django 宠物领养中心小程序(源码+系统+mysql数据库+Lw文档)
  9. 工具人在使用ppt时的一些经验和想法
  10. 数据分享|人口空间分布