1.异步fifo的深度计算

FIFO主要用于异步数据传输之间的数据缓冲。我们选择的FIFO深度应该能够保证在最极端的情况下,仍然不会溢出。因此考虑的最坏情况一般都是写时钟频率大于读时钟频率,但是若写操作是连续的数据流,FIFO都无法保证数据不溢出。可以认为写数据的传输是“突发Burst”的,即写操作并不连续。

从整个时间域上看,“写数据=读数据”。但是在发送方"突发"发送数据的时间T内,是很有可能写数据>读数据的,因此FIFO的深度要能够保证,在这段时间T内,如果接收方未能将发送方发送的数据接收完毕的话,剩下的数据都是可以存储在FIFO内部而且不会溢出的。

实例:一个8bit宽的异步FIFO,输入时钟为100MHz,输出时钟为95MHz,设一个数据包为4Kbit,且两个数据包之间的发送间距足够大。问异步FIFO的深度至少为多少?

发送发一次Burst突发的数据量为4Kbit,即500byte,在两次Burst突发之间有足够的时间,因此我们只用考虑在发送方Burst发送数据的时间T内,如果接受方没法将数据全部接收,其余数据均可存在FIFO内且不溢出。首先发送方Burst发送数据的时间段为 T = 500/100MHz。而在T这段时间内,接收方能够接受的数据量为B_receive = T*95MHz = 500 * 95 / 100 byte = 475 byte,因此 B_remain = B_send - B_ receive = 500 -475 = 25 byte。那么FIFO的深度至少要大于等于25 byte才行。
2、如果只使用2选1mux完成异或逻辑,至少需要几个mux?
Y= A xor B=A!B+AB!= (A == 1’b0) ?B: B!= (A 1’b0) ?B: ( (B1’b0) ? 1’b1: 1’b0)

即至少需要两个MUX

3.在对信号采样过程之前抗混滤波,其作用是什么?它选用何种滤波器?其截止频率如何确定?

根据“奈奎斯特采样定律”: 在对模拟信号进行离散化时,采样频率f2至少应2倍于被分析的信号的最高频率f1,即: f2≥2 f1;否则可能出现因采样频率不够高,模拟信号中的高频信号折叠到低频段,出现虚假频率成分的现象

但工程测量中采样频率不可能无限高也不需要无限高,因为我们一般只关心一定频率范围内的信号成份。为解决频率混叠,在对模拟信号进行离散化采集前,采用低通滤波器滤除高于1/2采样频率的频率成份。实际仪器设计中,这个低通滤波器的截止频率(fc) 为:

截止频率(fc)= 采样频率(fz) / 2.56

4.线与逻辑

线与逻辑是两个输出信号直接相连可以实现“与”的功能,可以用OC或OD门来实现,需要在输出端加一个上拉电阻。

5.将二输入的与非门当非门使用时,另一端的接法应该是

Y= !A=!(1’b1 && A)
所以另一端接高电平

6.属于高速串行接口的是

PCIE,USB,SPI,RapidIO

7.关于芯片启动时初始化代码在调到main函数之前会执行的操作

硬件初始化?

8.Verilog HDL中哪些结构是不可综合的

Verilog语法很多,没法把所有的关键词都列出来。

主要的关键词中always,assign,case,for,function等都是可综合的,注意for循环的次数需要固定。

另外一些用于验证的fork-join,initial,#delays等不可综合

9.关于同步设计和异步设计的描述

10.代码覆盖率

覆盖率是对仿真进度的评估,其中代码覆盖率是客观的,功能覆盖率是主观定义的。代码覆盖率主要有语句覆盖,条件覆盖,路径覆盖,有限状态机覆盖等等

11.面积和速度优化

面积优化:资源共享,串行化;
速度优化:流水线,缩短关键路径法,配平寄存器,乒乓操作

面积和优化存在矛盾,逻辑综合的目的就是要在满足时序的情况下尽量减小面积。

12.关于正则表达式中的计数符和通用字符集进行搜索匹配

  • 0 or more
  • 1 or more
    ? 0 or 1

13.时序检查中对异步复位电路的时序分析叫做()和()?

恢复时间检查和移除时间检查。recovery time 和removal time检查

14 FPGA内部资源包括哪些及其作用

根据作用就很容易联想出来

15 画一个序列发生器的Moore状态机

两种状态机的区别

16 电路最大频率计算

setup time 和 hold time

大疆数字IC2019相关推荐

  1. 【数字IC前端笔试真题精刷(2022.8.14)】大疆——数字芯片开发工程师B卷

    声明:本专栏所收集的数字IC笔试题目均来源于互联网,仅供学习交流使用.如有侵犯您的知识产权,请及时与博主联系,博主将会立即删除相关内容. 笔试时间:2022年8月14日 19:00 题目类型: 单选题 ...

  2. 数字IC笔试题,大疆校招16题(仅供参考)

    \\\插播一条: 自己在今年整理一套单片机单片机相关论文800余篇 论文制作思维导图 原理图+源代码+开题报告+正文+外文资料 想要的同学私信找我. 数字IC笔试题,大疆校招16题(仅供参考) 1.异 ...

  3. 大疆新品:DJI FPV数字图传系统(一个航拍玩家进入穿越机世界的好机会)

    如果您是一个梦想翱翔蓝天,又不满足于普通航拍无人机的飞友,现在有福了. 大疆昨天推出了为穿越机设计的图传系统: 品相: 特点: 1.纯数字,清晰,穿越机图传的一大进步. 2.28毫秒演示. 3.观众模 ...

  4. 数字 IC 设计、FPGA 设计秋招笔试题目、答案、解析(3)2022 大疆创新数字芯片 B 卷

    引言 最近收到诸多粉丝的来信,要求出一版<数字 IC 设计.FPGA 设计秋招笔试题精讲>,于是,通过几天几夜的加班加点,终于出了这一版<2022 大疆创新秋招笔试题目.答案.解析& ...

  5. 全场景多维度,高效数字智能化,大疆T40助力农业科技化发展

    [烽巢网原创] 中国有句俗话:民以食为天.一方面可见饮食文化对中国人来说是非常重要的一部分,另一方面也说明人不能不吃饭. 但粮食的生产,中间需要经历从播种.灌溉.施肥.除虫除害,到收获等等诸多环节和漫 ...

  6. 08.01大疆创新2022数字芯片笔试

    亲爱的大疆你考的这都是啥 单选题(共11道题) 1.[单选题]下列关于stuck-at故障模型描述错误的一个是: A.组合逻辑上的Fault点可以做故障合并 B.用于覆盖内部得时序故障 C.正常Cap ...

  7. AI一分钟 | Facebook或将面临2万亿美元罚款;大疆寻求新一轮融资,估值150亿美元

    整理 | 阿司匹林 一分钟AI 美国联邦贸易委员会正在对Facebook展开调查,如果有5000万Facebook用户的数据被泄露的话,Facebook可能会被判处2万亿美元的罚款. 无人机制造商大疆 ...

  8. 大疆开挂,谁都不能阻拦它登上好莱坞无人机领域巅峰!

    大疆最近发布,新一代无人机M600,志在好莱坞. 最近,在拉斯维加斯举行的国家广播协会年会上,大疆向大家展示了其公司最近面向好莱坞的两款新产品,其中一个便是标价4599美元.能力超强的无人机的Matr ...

  9. 与大疆并称双雄、估值近百亿的极飞科技,现在是一家什么公司?

    杨净 发自 凹非寺 量子位 报道 | 公众号 QbitAI 农业科技领域的头部极飞科技,最近有点忙. 先是对外宣布完成3亿元C++轮融资,投资方是高瓴创投. 此次高瓴的注资,是对去年11月极飞科技12 ...

最新文章

  1. 如何安装蓝湖插件支持Photoshop CC 2017
  2. k-means聚类算法从入门到精通
  3. Windbg调试中遇到的问题
  4. [云炬创业基础笔记]第五章创业机会评估测试12
  5. PCB散热的10种方法!
  6. BZOJ 1008 [HNOI2008]越狱
  7. k8s + 微服务,王炸!
  8. 随笔 - C/C++
  9. 【微型计算机原理与接口技术】指令概述与标志寄存器
  10. SharePoint 2013 版本功能对比
  11. chm打不开解决办法
  12. 【得物技术】AB实验设计实现与分流算法
  13. 服务器部署方案文档,IBM目录服务器部署方案
  14. 实训(一)学生管理系统
  15. 分享 百度网盘,不用开会员也可以免费同步上传视频和照片的方法
  16. iOS GUI 规范
  17. 地磅称重软件与ERP系统的实现
  18. 仓库码放要求_仓库管理制度规则
  19. fastjson远程代码执行漏洞问题分析
  20. 数据提取或数据恢复工具

热门文章

  1. VDI负载测试工具使用分享:Login VSI简介
  2. 中移动称推TD-LTE上网卡达3G速率10倍
  3. linux 硬件加密 ssd,英睿达/三星部分SSD存固件漏洞:全盘硬件加密被秒破
  4. Shrio框架实现自定义密码校验规则
  5. 关于H5页面适配的问题
  6. conda导包问题之To search for alternate channels
  7. 推荐系统中协同过滤算法实现分析(重要两个图!!)
  8. MATLAB中小数位数的设置方法
  9. 良精cms php版漏洞,南方数据、良精系统、网软天下漏洞利用
  10. 知识星球《玩转股票量化交易》之Backtrader量化框架的使用说明