目录

1.算法描述

2.仿真效果预览

3.Verilog核心程序

4.完整FPGA


1.算法描述

整个模型的基本框图为

软件无线电是现代通信技术的重要研究领域和发展方向,目前发展迅速.快速发展的软件无线电技术与落后的硬件计算资源之间的矛盾越来越突出.为了缓解这个矛盾,一方面可以加快集成电路的研发进度,提升硬件的计算性能;另一方面可以对信号处理的算法进行深入的改进研究,降低算法的运算量,在现有的硬件水平下提出符合实际的解决方案.在信号处理的各种算法中,调制解调算法的地位十分重要.尤其是其中的解调算法,其复杂度已被作为衡量整个信号处理系统工作性能的有效指标. 本文的研究对象是恒定包络连续相位调制技术中的最小频移键控(MSK).这种调制方式具有恒定包络,相位连续,功率谱密度较集中,频带利用率高等特点.MSK信号的诸多优点使得它在信号理论研究和应用中具有重要意义.

 MSK信号是一种相位连续、包络恒定并且占用带宽最小的二进制正交FSK信号。它的第k个码元可以表示为:

MSK信号具有特点如下:①MSK信号是正交信号;②其波形在码元间是连续的;③其包络是恒定不变的;④其附加相位在一个码元持续时间内线性地变化2/;⑤调制产生的频率偏移等于T4/1Hz;⑥在一个码元持续时间内含有的载波周期数等于1/4的整数倍。这里,我们考虑到硬件平台的高度可移植性,我们采用了无核化设计,就是全部使用verilog进行设计,下面首先说明一下系统的各个管脚。

时钟,接板子的晶振。

系统复位,高电平复位清0,你接板子上任意一个开关即可。

数据发送端数据,有符号,你接起高位即可,示波器看

MSK符号,多维有符号数,需要使用chipscope在线看

MSK符号,多维有符号数,需要使用chipscope在线看

MSK调制,多维有符号数,需要使用chipscope在线看

MSK调制,多维有符号数,需要使用chipscope在线看

调制端最后输出的中频信号

接收端解调信号

接收端解调信号

低通滤波信号

低通滤波信号

MSK差分解调信号

最后的数据

误码数

总比特数(两个相除就是误码率)

2.仿真效果预览

matlab2022a仿真结果如下:

3.Verilog核心程序

`timescale 1ns / 1psmodule tops(i_clk,i_rst,//Transo_Trans_data_samples,o_Msk_I_samples,o_Msk_Q_samples,o_msk_cos,o_msk_sin,o_msk_R,o_msk_Rn,//Reco_msk_cos_rec,o_msk_sin_rec,o_msk_filter_recI,o_msk_filter_recQ,o_data,o_bit,o_error_num,o_total_num);input              i_clk;
input              i_rst;
//Trans
output signed[1:0] o_Trans_data_samples;
output signed[9:0] o_Msk_I_samples;
output signed[9:0] o_Msk_Q_samples;
output signed[15:0]o_msk_cos;
output signed[15:0]o_msk_sin;
output signed[15:0]o_msk_R;
output signed[15:0]o_msk_Rn;
//Rec
output signed[15:0]o_msk_cos_rec;
output signed[15:0]o_msk_sin_rec;
output signed[15:0]o_msk_filter_recI;
output signed[15:0]o_msk_filter_recQ;
output signed[31:0]o_data;
output signed[1:0] o_bit;output signed[31:0]o_error_num;
output signed[31:0]o_total_num;//Trans//output  o_clk_4M;        //100M ~ 4M  , 25   times
//output  o_clk_1600K;    //100M ~ 0.8M, 125  times
//output  o_clk_200K;    //100M ~ 0.1M, 1000  times
wire clk200;
wire clk_4M;
Msk_mod Msk_mod_u(.i_clk               (i_clk), .i_rst               (~i_rst), .o_clk_4M            (clk_4M), .o_clk_1600K         (), .o_clk_200K          (clk200), .o_Trans_data        (), .o_Trans_data_samples(o_Trans_data_samples), .o_Msk_I             (), .o_Msk_Q             (), .o_Msk_I_samples     (o_Msk_I_samples), .o_Msk_Q_samples     (o_Msk_Q_samples), .o_cos               (), .o_sin               (), .o_msk_cos           (o_msk_cos), .o_msk_sin           (o_msk_sin), .o_msk_R             (o_msk_R));awgns awgns_u(.i_clk(clk_4M), .i_rst(~i_rst), .i_power(16'd100), .i_din(o_msk_R), .o_dout(o_msk_Rn));
//Rec
Msk_demod Msk_demod_u(.i_clk            (i_clk), .i_rst            (~i_rst), .i_msk_R          (o_msk_Rn), .o_msk_cos_rec    (o_msk_cos_rec), .o_msk_sin_rec    (o_msk_sin_rec), .o_msk_filter_recI(o_msk_filter_recI), .o_msk_filter_recQ(o_msk_filter_recQ), .o_data           (o_data), .o_bit            (o_bit));//error calculate
Error_Chech Error_Chech_u(.i_clk(clk200), .i_rst(~i_rst), .i_trans(o_Trans_data_samples), .i_rec(o_bit), .o_error_num(o_error_num), .o_total_num(o_total_num));
endmodule
01_117m

4.完整FPGA

V

m基于FPGA的MSK调制解调系统verilog开发,并带FPGA误码检测模块和matlab仿真程序相关推荐

  1. msk 频偏_基于MSP430的MSK调制解调实现.doc

    PAGE 哈尔滨工程大学本科生毕业论文 基于MSP430的MSK调制解调实现 院 (系):信通学院 专 业:电子信息工程 学 号学 生 姓 名:杜兆龙 指 导 教 师:罗天放 副教授 2008年6月 ...

  2. 【FPGA,MPPT】基于FPGA的MPPT最大功率跟踪系统verilog开发

    1.软件版本 MATLAB2019a,ISE14.7 2.本算法理论知识 MPPT,我们采用的是 FPGA的设计结构如下: 这里, 第一,使用MATLAB模拟出光伏设备PV输出的电流和电压数据,尽量贴 ...

  3. 基于Simulink对调制-解调系统的仿真(BASK+BFSK+BPSK+QPSK)

    目录 目 录 1 一 .调制-解调系统的基本原理 3 1.1 BASK 3 1.1.1 调制原理 3 1.1.2 解调原理 3 1.2 BFSK 3 1.2.1 调制原理 3 1.2.2 解调原理 4 ...

  4. 基于MATLAB的基本数字调制解调系统的设计

    基于MATLAB的基本数字调制解调系统的设计 一.摘要 现代通信系统要求通信距离远.通信容量大.传输质量好,作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向.本文以MATLAB为软件平台, ...

  5. 西电B测——基于simulink的2PSK和2DPSK调制解调系统实现

    这是学校的B级测试实验,仅供学习交流使用 误码率曲线绘制需要用到bertool工具: bertool工具教程: 通信专业Simulink---画误码率曲线(使用bertool工具)_Enpiyahh的 ...

  6. 基于锁相环的调制解调仿真实现

    基于锁相环的调制解调仿真实现 论文+代码+实验结果下载地址:下载地址 摘要 随着现代集成电路技术的发展,锁相环已经成为集成电路设计中非常重要的一个部分,所以对锁相环的研究具有积极的现实意义.锁相环电路 ...

  7. matlab simlink fm调制,基于MATLABSIMULINK的FM调制解调

    基于MATLABSIMULINK的FM调制解调 1 FM调制与解调原理 1.1模拟通信系统的简介 通信的目的是传输信息.通信系统的作用就是将信息从信息源发送到一个或多个目的地.通信系统对信号进行两种基 ...

  8. 正交解调 matlab,正交相位偏移键控(qpsk)调制解调系统simulink(matlab)建模分析毕业论文...

    正交相位偏移键控(qpsk)调制解调系统simulink(matlab)建模分析毕业论文 重庆邮电大学本科毕业设计(论文)- I -编 号: 审定成绩: 设计(论文)题目: 正交相位偏移键控(QPSK ...

  9. matlab仿真软件 高阶调制,高阶差分幅度相移键控调制解调系统及仿真

    摘要: 本文研究了高阶差分幅度相移键控调制解调(MDASPK)的算法.MDAPSK与具有高频谱利用率已实用化的QAM相比,MDAPSK是由差分幅度(DASK)和差分相移键控(DPSK)结合的一种调制方 ...

最新文章

  1. 实用网站和在线工具推荐
  2. Canal安装说明(Windows版)
  3. XML Schema 基本结构
  4. Exceptionless(二) - 使用进阶
  5. Linux如何检查目录inode占用,linux – 如何确定哪个文件/ inode占用给定扇区
  6. bzoj2460 [BeiJing2011]元素 贪心+线性基
  7. DHML+XML+CSS 技术汇总
  8. 免费公共DNS服务器IP地址大全
  9. Canny 算子的非极大值抑制
  10. C语言:判断对错(简化版)
  11. 电脑缩小,电脑网页缩小了怎么恢复?电脑网页缩小的三种恢复方法
  12. vscode 逗号不换行_苹果手机九宫格怎么换行 苹果手机九宫格换行操作步骤
  13. 【JavaScript】VUE前端实现微信版录制音频wav格式转化成mp3格式和Base64
  14. android是不是百合手机号码,百合定位(百合定位电话手表)V1.0.16 手机版
  15. 【星云测试】星云精准测试之用例魔方
  16. <<计算机操作系统(慕课版)>>第三章参考答案
  17. 【倡议】大家都说说自己能跑Ubuntu 的电脑
  18. 西门子PLC与Profinet工业以太网RFID读写器|读卡器的编程与配置说明
  19. HTMLTestRunnerCN
  20. 如何写一份程序员爱看的需求文档?

热门文章

  1. IOS 之各种收起键盘
  2. 【蓝桥杯单片机组第十届决赛】— 客观试题
  3. Hive将带有斜杆的时间拼接成字符串
  4. c语言中int是高级语言,高级语言程序设计(一)2010年10月真题
  5. 50122可行性研究
  6. pdf转换成txt转换器有哪些
  7. 火车票被秒光,别慌:一款 Python 自动抢票神器,收藏起来回家不愁
  8. libreoffice 开发文档_LibreOffice SDK开发
  9. ros下使用webots
  10. 火狐所以版本资源下载及驱动下载