文章目录

  • 分频电路
    • 整数分频电路
    • 2.5分频电路

分频电路

1.利用计数器实现分频电路,例如,4位计数器计数值输出的最高位信号就是输入时钟信号的16分频,而最低位则为输入时钟信号的2分频。此为2^n分频。

整数分频电路

1.对于一些非2的整数次幂的分频,还需要加上复位控制电路
2.实战代码:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenping isport(clk:in std_logic;cout: out std_logic);end entity;architecture behav of fenping issignal rst:std_logic;signal cnt:std_logic_vector(2 downto 0);
beginprocess(clk,rst)beginif rst='1' thencnt<="000";elsif (clk 'event and clk='1') thencnt<=cnt+1;end if;---记住是一个end if;啊啊啊啊啊啊,时间废在上面if cnt="110" then rst<='1';else rst<='0';end if;end process;cout<=cnt(2);end behav;

仿真波形:

2.5分频电路

对于小数分频的实现,需要在计数器的基础上增加一定的逻辑控制电路来完成。其基本原理是运用脉冲吞吐计数器和PLL技术,先设计两个不同分频比的整数计数器,然后通过控制单位时间内两种分频比出现的不同次数来改变小数分频值。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;entity fenpin is port(clk: in std_logic;cout: out std_logic);
end entity;architecture rtl of fenpin isconstant md: std_logic_vector(3 downto 0):="0011";---设置模N的值signal count: std_logic_vector(3 downto 0);signal clk_tmp1: std_logic;signal clk_tmp2: std_logic;signal clk_out_tmp: std_logic;    ----设定常量的整数分频
beginclk_tmp1<=clk xor clk_tmp2;    ---升降沿微分电路
process(clk_tmp1)beginif (clk_tmp1 'event and clk_tmp1='1') thenif (count="0000") thencount<=md-1;cout<='1';clk_out_tmp<='1';elsecount<=count-1;cout<='0';clk_out_tmp<='0';end if;end if;
end process;process(clk_out_tmp)beginif(clk_out_tmp 'event and clk_out_tmp='1') thenclk_tmp2<=not clk_tmp2;   --clk_tmp2为clk_out_tmp二分频end if;
end process;
end rtl;

仿真波形:

注:此代码可以实现当分频系数为N-0.5,可以修改md的值来改变。

VHDL实战-----分频器的实现相关推荐

  1. (05)VHDL实现分频器

    (05)VHDL实现分频器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现分频器 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate ...

  2. 小数分频器vhdl实现_基于FPGA的小数分频器的设计与实现.doc

    基于FPGA的小数分频器的设计与实现.doc 基于FPGA的小数分频器的设计与实现 [摘要]本文首先分析了现有小数分频器的优缺点,在此基础上提出了一种改进型小数分频器的设计方法.同时结合VHDL文本输 ...

  3. 基于FPGA的数字时钟设计

    基于FPGA的数字时钟设计 芯片与开发板 技术指标 1.具有正常的日时分秒技术显示功能,用七个数码管分别显示日,时,分,秒. 2.有按键校日,校时,校分,校秒. 3.利用led模拟整点报时功能. 4. ...

  4. 【学习笔记】数电实验——发光二极管走马灯电路的设计与实现

    2020-5-16 使用软件为quartusII 9.1,芯片为EPM1270T144C5 一.实验任务要求 设计并实现一个控制16个发光二极管亮灭的电路,仿真验证其功能.实现功能如下: (1)单点移 ...

  5. EDA 电子设计自动化VHDL系列课程7 – 分频器和计数器

    EDA 电子设计自动化VHDL系列课程7 – 分频器和计数器 本EDA系列介绍的系统环境是: 软件: VHDL编程语言 : 工具: Quartus13.0 FPGA 芯片是: Cyclone III ...

  6. ❀工信工实验参考——《VHDL实验2——数码管及分频器》

    一般来说,我贴上来的代码都是能直接跑的,如果不行可以邮箱交流1902946954@qq.com 仅供参考,微机的老师讲的很好,所以请还是要先自己完成咯. 免责声明,本人菜只因一只,内容仅供参考,错了不 ...

  7. 小数分频器vhdl实现_VHDL实现分频器 - zy010101的个人空间 - OSCHINA - 中文开源技术交流社区...

    10分频电路(非 分频器) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_ ...

  8. FPGA的设计艺术(6)STA实战之SmartTime时序约束及分析示例(I)

    前言 FPGA进行时序分析通常使用厂家的编译工具,进行时序分析,但是万变不离其宗,时序分析的知识通常都是通用的,原理都是一致的.下面根据SmartTime的资料来看下时序分析的实际操作是如何的,这在其 ...

  9. VHDL简易电子琴的设计

    主要内容:本课程设计主要是利用硬件描述语言VHDL的设计思想,采用自顶向下的方法,划分模块来设计简易电子琴中的几个模块.通过课程设计深入理解和掌握计算机的基本知识,并且掌握课堂上所学到的理论知识,达到 ...

  10. 基于VHDL的可变速彩灯控制器的设计

    使用VHDL进行设计,首先应该理解,VHDL语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次.应充分利用VHDL"自顶向下"的设计优点以及层次化的设 ...

最新文章

  1. hibernate逆向工程生成的实体映射需要修改
  2. SCCM 2012系列之新特性概览一:SCCM 2012安装选项和控制台新界面预览
  3. HBase 1.1.2 优化插入 Region预分配
  4. 【Android 逆向】整体加固脱壳 ( DEX 优化流程分析 | DexPrepare.cpp 中 dvmOptimizeDexFile() 方法分析 | /bin/dexopt 源码分析 )
  5. 读取cc2530节点的设备类型、协调器、路由器、终端。
  6. Go性能测试benchmark
  7. JasperReport学习笔记2-创建简单的报表例子
  8. 分布式文件系统研究-什么是文件系统
  9. 什么是跨域?跨域如何解决
  10. thinkpad重装系统不引导_4个步骤,轻松解决电脑重装系统【蓝屏】
  11. 【报告分享】2020中国数字化后浪:中小企业转型与创新实录.pdf(附下载链接)...
  12. 一次性存入多少钱就可以有资格跟银行商谈利息了?
  13. 令人泪目 浙江江山古稀老人照顾俩脑瘫儿近半世纪
  14. matlab数值模拟的例子,第12章 MATLAB 数值模拟实例解析课件
  15. myeclipse 运行servlet
  16. Linux下报ora-12162,登录RMAN 报ORA-12162:TNS:net service name is incorrectly specified错误
  17. MSN 无法登陆,错误代码: 80072efd,如何解决
  18. django celer_Celer招募Zing作为下一代基于Java的交易平台
  19. Android 使用 Scroller 实现平滑滚动
  20. Appium+python自动化(四十一)-Appium自动化测试框架综合实践 - 即将落下帷幕(超详解)

热门文章

  1. VS2019的动态库dll在lua中调用及lua.exe和luac.exe的使用方法
  2. 线性回归 python_python中的线性回归
  3. .NET代码混淆——开源.net 混淆器ConfuserEx介绍
  4. sagemath matlab,开源跨平台数学软件SageMath v8.1
  5. Android mvp 架构的自述
  6. 安装magicdrawUML出现的问题
  7. MagicDraw 18.5 SP4
  8. 学习笔记1:迟滞电压比较器
  9. 仿真软件Multisim 10下载地址与破解补丁
  10. 一个00后无学历废物的编程之路