10分频电路(非

分频器)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clk_div IS

PORT(clkin:IN STD_LOGIC;

clkout:OUT STD_LOGIC);

END clk_div;

ARCHITECTURE clk_div_behavior OF clk_div IS

SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL temp:STD_LOGIC;

BEGIN

PROCESS(clkin)

BEGIN

IF(clkin'EVENT AND clkin='1')THEN

IF(counter="100")THEN --注意,这里是0——4,一个周期1:1的高低电平

counter<="000";

temp<=NOT temp;

ELSE

counter<=counter+1;

ENDIF;

END IF;

END PROCESS;

clkout<=temp;

END clk_div_behavior;

分频电路(2,4,8分频电路)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clk_div IS

PORT(clk:IN STD_LOGIC;

clk_div_2:OUT STD_LOGIC;

clk_div_4:OUT STD_LOGIC;

clk_div_8:OUT STD_LOGIC);

END clk_div;

ARCHITECTURE clk_div_behavior OF clk_div IS

SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(counter="111")THEN

counter="000";

ELSE

counter<=counter+1;

END IF;

END IF;

END PROCESS;

clk_div_2<=NOT counter(0);

clk_div_4<=NOT counter(1);

clk_div_8<=NOT counter(2);

END clk_div_behavior;

占空比为2:4的6分频器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clk_div IS

PORT(clk:IN STD_LOGIC;

clk_div_6:OUT STD_LOGIC);

ENDclk_div;

ARCHITECTURE clk_div_bahavior OF clk_div IS

SIGNAL temp:STD_LOGIC_VECTOR(2 DOWNTO 0);

CONSTANT counter:STD_LOGIC_VECTOR(2 DOWNTO 0):="101";

BEGIN

PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(temp=counter)THEN--控制分频

temp<="000";

ELSE

temp<=temp+1;

END IF;

END IF;

END PROCESS;

PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(temp="001")--控制占空比

clk_div_6<='1';

ELSE

clk_div_6<='0';

END IF;

END IF;

END PROCESS;

END clk_div_bahavior;

我的博客即将同步至腾讯云+社区,邀请大家一同入驻:https://cloud.tencent.com/developer/support-plan?invite_code=3f3iv18pcu80k

本文同步分享在 博客“zy010101”(CSDN)。

如有侵权,请联系 support@oschina.cn 删除。

本文参与“OSC源创计划”,欢迎正在阅读的你也加入,一起分享。

小数分频器vhdl实现_VHDL实现分频器 - zy010101的个人空间 - OSCHINA - 中文开源技术交流社区...相关推荐

  1. php 两位小数包含0,PHP保留两位小数 - osc_8dm0sbbd的个人空间 - OSCHINA - 中文开源技术交流社区...

    我们在项目里经常需要保留小数位数,比如显示金额的时候通常需要保留两位小数位数,除了可以在设计数据库的时候将金额字段设置为decimal(n,2)形式的,通过PHP也可以处理小数数位,PHP中可以处理数 ...

  2. 小数分频器vhdl实现_基于FPGA的小数分频器的设计与实现.doc

    基于FPGA的小数分频器的设计与实现.doc 基于FPGA的小数分频器的设计与实现 [摘要]本文首先分析了现有小数分频器的优缺点,在此基础上提出了一种改进型小数分频器的设计方法.同时结合VHDL文本输 ...

  3. EDA 电子设计自动化VHDL系列课程7 – 分频器和计数器

    EDA 电子设计自动化VHDL系列课程7 – 分频器和计数器 本EDA系列介绍的系统环境是: 软件: VHDL编程语言 : 工具: Quartus13.0 FPGA 芯片是: Cyclone III ...

  4. n分频器 verilog_基于Verilog的分频器实现

    分频器是指使输出信号频率为输入信号频率整数分之一的电子电路.在许多电子设备中如电子钟.频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种 ...

  5. 8位数控分频器的设计_数控分频器的设计

    数控分频器的设计 摘要: 此设计以 quartusII 为开发平台 , 用 VHDL 语言设计了数控分频器,并生成原理图 , 完成了分频的功能 , 并在 quartusII 上仿真 , 验证通过. 关 ...

  6. pll制作分频器_怎样自制二分频分频器 制作二分频器需要什么元器件?

    2分频音箱是指音箱由一个高音单元和一个低音单元组成,他是属于全频音箱的一种. 自制二分频分频器简单版 今天,为大家送上一个自制的低音分频器,虽然材料不多,也简单,但低音效果极强 因为电路要用2个无极电 ...

  7. 4位先行进位加法器的vhdl程序_VHDL精密,Verilog简洁,但要写好都要遵守这25条通则...

    欢迎FPGA工程师加入官方微信技术群 点击蓝字关注我们FPGA之家-中国最好最大的FPGA纯工程师社群 当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相 ...

  8. flexf布局中的flex-grow和flex-shrink的计算方法,flex简写的规则

    原文连接 flex 如何解决传统常见布局问题 在传统布局中最常见也是急需的当然就是在从左往右把父元素的空间分配给子元素以实现多栏布局了:按比例也好,定宽也好,更灵活的定宽加占用剩余空间也好. 那我们就 ...

  9. 儿童身高体重记录检测表

    儿童身高体重记录检测表 一.记录检测表简介 最近用excel制作了一个记录7岁以下儿童身高和体重的表格,并以卫健委公布的<7岁以下儿童生长标准>为标准,来评判儿童的身高和体重所处的水平.帮 ...

最新文章

  1. re.match()用法详解
  2. CentOS系统中PHP和MySQL的升级方法
  3. UE4 RHI与条件式编译
  4. Redis缓存数据库(一)
  5. 代理模式源码解析(jdk+spring+mybatis)
  6. C和指针之字符串之strncpy、strncat、strncmp
  7. python找最长的字符串_Python简单实现查找一个字符串中最长不重复子串的方法
  8. mysql分页查询sql语句_mysql 分页查询的sql语句
  9. 润乾V4.2.5 报表开发 安装 以及授权文件
  10. FPGA编程入门:Quartus II 设计1位全加器
  11. matlab复数参数的虚部已忽略,[问题] 复数 X 和/或 Y 参数的虚部已忽略
  12. 31、当当图书榜单爬虫
  13. 论OIer谈恋爱的必要性
  14. 计算机编程教育资源,风变编程以科技实现教育普惠,俱进教育公平
  15. 华为社招/东莞、杭州、成都、西安
  16. Adobe产品adobe ID登录卡死问题的解决
  17. MFC 消息分类 (II)
  18. 学python买什么电脑好用又实惠_用不到1000美元攒一台深度学习用的电脑:一次深度学习和便宜硬件的探奇!...
  19. 【复旦】【金融】且谈复旦大学金融系——送给想报考复旦或是复旦经济学院的同学们【zz】
  20. windows10系统如何关闭数字签名认证

热门文章

  1. mysql安装了libaio还是报错_ubuntu安装mysql遇到的坑----解决Mysql报错缺少libaio.so.1
  2. MySQL数据库——索引机制及其优化
  3. 非洲瓜哇JAVA布的特点_非洲文化令人好奇,非洲人的服装及着装有什么特点?...
  4. Java 基础篇(一)基本概念
  5. 计算机毕业设计django基于python智能在线考试阅卷系统
  6. 活动安排(贪心算法)C/C++
  7. CXF框架发布WebService服务的例子
  8. 还记得小时候玩的泡泡龙吗?用CocosCreator实现它!
  9. Flex Builder 快捷键
  10. 如何提高Microsoft Store下载速度