相位编码信号简介

对于基带信号来说,不需要载频,只需要产生复包络即可,即生成双极性的m序列。

m序列产生原理

m序列利用多级移位寄存器产生,由N个串联的寄存器、移位脉冲产生器、和模2加法器组成。反馈线的连接状态用Ci表示,Ci=1表示此线接通(参加反馈),Ci=0表示此线断开。

由于反馈线的存在,移位寄存器的输入端自发地输入信号,除全零状态外,N级移位寄存器最大有种状态。

m序列产生原理

m序列的产生原理图如上图所示,自发式的产生种状态。

Verilog代码如下:

module m_produce(input clk,input enable,output  s_out  //);reg [31:0] shift_reg = 32'h0000_0001; // 32长度的m序列发生器reg        out_weima = 0  ;reg        clk_weima = 0  ;reg  [2:0] weima_cnt = 0  ;assign s_out=out_weima;always @(posedge clk) beginif (enable)clk_weima <= ~clk_weima;endalways @(posedge clk_weima) beginif (shift_reg==0)shift_reg<=1;elsebeginshift_reg[31:0] <= {shift_reg[30:0],shift_reg[0]}; //移位shift_reg[0]  <= shift_reg[0]^shift_reg[1]^shift_reg[2]^shift_reg[22];   //反馈抽头out_weima <= shift_reg[0];endendendmodule

此时产生了一位单极性的m序列 ,然后进行极性转换并转换成14位的数据,最后变成25%占空比的脉冲信号。这样就产生了14位的相位编码信号。

Verilog代码如下:

module Pulse_signal(input        clk_160M,input        clk_5M,//input [13:0] s_in,//output reg enable,output reg [13:0] s_out //);reg [4:0] ena_num=0;wire   m_out;reg enable;//reg [13:0] s_out_temp;    //进行代码的转换 m_produce  u_m0_produce(.clk(~clk_160M),.enable(1),.s_out(m_out));always @(negedge clk_160M ) begin      //生成5M 25%占空比的时钟if(1)ena_num=ena_num+1;elseena_num=0;if ((ena_num>8)|(ena_num==0))enable =0;else enable = 1;    endalways @(posedge clk_160M) begin    //m序列变成14位的双极性码if(enable)if(m_out)s_out = 8191;elses_out = -8191;elses_out=0;endendmodule

产生原理框图

相位编码信号的系统框图如下图所示,系统包括三个部分,由移位寄存器,编码转换、脉冲转换三个部分组成。

32位的移位寄存器用于产生m序列。然后经过编码转换,单比特的码值转换为14比特的并行数据。然后经过脉冲转换,这部分由一个5MHz、25%占空比的使能信号来控制,将连续的信号转换为脉冲信号。

Vivado仿真结果

FPGA产生相位编码基带信号相关推荐

  1. 英特尔发布首款支持 5G NR试验平台 ,离2020年5G商用又进一步

    作为一家在5G技术领域布局已久的龙头企业,英特尔一直在加速推进2020年5G商用这一目标的实现. 雷锋网9月8日消息  昨日,英特尔正式发布业界首款支持5G NR(新空口)的试验平台--第三代英特尔  ...

  2. 基于FPGA的HDB3编译码器设计

    数字基带信号的传输是数字通信系统的重要组成部分之一.在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,为 ...

  3. 基于FPGA的HDB3数字编码器设计

    1.问题描述: 数字基带信号的传输是数字通信系统的重要组成部分之一.在数字通信中,一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响 ...

  4. 数字信号处理的fpga实现_FPGA数字信号处理:通信类I/Q信号及产生

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  5. mimo-ofdm无线通信技术及matlab实现_智芯文库 | FPGA无线通信课程连载——扰码的原理及实现...

    一.扰码的作用 对数字信号的比特进行随机处理,减少连0和连1的出现,从而减少码间干扰和抖动,方便接收端的时钟提取:同时又扩展了基带信号频谱,起到加密的效果.为了保证在任何情况下进入传输信道的数据码流中 ...

  6. FPGA 数字信号处理之 FSK 调制、解调的实现与仿真基于 verilog + ise + modelsim + matlab (保姆级)

    试验说明: 笔者复现FSK调制.解调的过程中,在网上查了很多很多相关文章,至少在本文之前,没发现能在参考一篇博文的情况下能完整复现实验结果的.总之,全乎的基本没有. 本笔记(文)的目标是让入门者或者说 ...

  7. FPGA数字信号处理(十三)锁相环位同步技术的实现

    前面介绍了数字通信系统中ASK解调技术的FPGA实现,以及判决门限选择的问题.本文将介绍解调系统中的位同步技术,只有在位同步模块的控制下,才能正确的提取出基带信号中携带的数据.本文设计参考自杜勇老师的 ...

  8. FPGA数字信号处理(十一)ASK解调技术

    上一篇介绍了数字通信系统中ASK调制技术的FPGA实现.调制信号经过DAC.可选的带通滤波器.功率放大器.天线发送出去后,在接收端收到ASK信号后需要对其解调,提取出包含的信息(基带信号).无论在哪种 ...

  9. 西电通院随机信号实验(FPGA实现2ASK键控、RC低通滤波器、Matlab实现SSB调制)

    西安电子科技大学          随机信号实验      课程实验报告 实验名称  实验一 RC无源低通滤波器设计与测量及分析       实验二模拟调制--SSB信号产生与分析           ...

最新文章

  1. 【iOS】iOS10.3新增API:应用内评分
  2. Sicily 7974. Integer Lists 解题报告
  3. 设置linux英文环境,英文Linux里中文和日文用户环境设置
  4. 解决Eclipse里Maven工程报 An error occurred while filtering resources错误
  5. zipkin server 数据持久化问题
  6. 信息学奥赛C++语言: 不与最大数相同的数字之和
  7. iOS蓝牙BLE4.0通信功能
  8. 手机modem开发(12)---MTK 平台如何PUSH modem 到手机中进行调试
  9. “迭代期内无变更”与研发心理学(承诺管理,MosCoW方法)
  10. floating输入 高阻输入_STM32 GPIO八种输入输出模式
  11. 水声通信中适用的调制技术及分析(FSK、PSK、DPSK)
  12. scratch少儿趣味编程之让小猫原地转圈
  13. 从零开始设计RISC-V处理器——五级流水线之控制冒险
  14. 一分钟让你明白货币贬值现象
  15. ThinkPad E420升级之路
  16. 实验三 基于A*算法的迷宫游戏
  17. html设置ios状态栏颜色,ios 显示html标签,超链接颜色以及下划线的处理
  18. 未来哪些职业最有可能被人工智能替代
  19. 【06月19日】A股滚动市盈率PE最低排名
  20. 王者荣耀断线显示重连服务器失败,王者荣耀掉线后进不去

热门文章

  1. 解决发送添加附件的邮件时,附件名是一个以UTF-8开头的随机乱码
  2. c语言花样编程,C语言表达式的花样表达
  3. 国际浏览器市场分析报告
  4. java基础之final关键字_繁星漫天_新浪博客
  5. mysql left join含义_left join是什么意思
  6. 记忆法——《认知天性》
  7. 假如 Micromedia 没被收购,会不会早于 Apple 推动 H5、CSS3 的发展
  8. 测试公众号开发php,快速接入公众号开发
  9. m.444lu.co show.php,vml圆角矩形最简布局_javascript技巧
  10. TSN算法的PyTorch代码解读(训练部分)