本文章属于学习笔记文章,如有抄袭请见谅

本人使用的开发板是野火骄阳stm32f407igtx开发板

软件安装

本实验使用软件有:keil5、STM32CubeMX软件如下所示

学习本实验需要先学习stm32标准库,只需要学习前几章就行(GPIO口输出和输入、时钟配置,exti外部中断),本人是初学者,所以有错误欢迎大家指正。

软件安装参考野火教程,软件最好选择最新版本安装,我安装的官网上最新的keil5,好像是不需要破解,使用到目前没有什么阻碍。

学无止境,在学习时我有很多困惑会写出来,欢迎大家讨论

实验开始

  1. 创建工程打开stm32cude软件

  2. 选择型号(查看自己芯片的型号进行选择)

  3. 配置RCC(为什么要配置?我看了很多,大意是系统工作需要,现在我还没有什么理解,暂时也没有用到,用到了再去学)

  4. 配置SYS(听说是配置了这个才能下载到板子里,我这个板子选择Serial Wire)

  5. 配置GPIO-点亮LED(首先在软件右下角输入你要查的引脚,它就会闪动起来,然后点击引脚选择模式。)

    我们可以看到引脚有很多模式,我们后面再慢慢了解,选择GPIO_Output,控制led肯定是输出。之后给你的引脚起个名字LED,这部分配置就完成了。

  6. 时钟配置(安装图片顺序来就行,至于为什么这样配置,大家的解释是根据你的需求来,频率越高能耗越高,实际上我们还在学习,哪有什么需求,按最高的来吧,有需求就学习,没需求就不理)

  7. 生成文件配置(这里的话跟着来就行,熟能生巧,读读英文就明白在干啥了,最后一步就是生成初始化文件了)

  8. 添加代码 (之后就能在main.c的文件中添加自己的代码流水灯之类的,在下载程序之前还要配置魔法棒)

  9. 下载调试

总结和不足

STM32CUDE初始化GPIO以后默认是开启的,灯就会一直亮,想要它闪动的话可以在gpio.c文件的初始化函数中加入

HAL_GPIO_WritePin(GPIOA,GPIO_PIN_15,GPIO_PIN_RESET);

之后在main.c文件中添加

    /* USER CODE END WHILE */HAL_GPIO_WritePin(GPIOA,GPIO_PIN_15,GPIO_PIN_SET);HAL_Delay(500);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_15,GPIO_PIN_RESET);HAL_Delay(500);/* USER CODE BEGIN 3 */

STM32CUDE-STM32F407学习笔记1-点亮LED相关推荐

  1. 嵌入式学习笔记——寄存器实现控制LED小灯

    文章目录 前言 GPIO通用输出模式 初始化LED小灯的GPIO 原理图 初始化代码 初始化的效果 功能函数封装 直接分开宏定义两个 使用条件运算符 封装函数实现简单的功能 KEIL MDK一些技巧 ...

  2. STM32F407学习笔记——MG90S舵机模块(基本控制)

    STM32F407学习笔记--MG90S舵机模块(基本控制) 一.基本原理: 通过改变PWM占空比来实现舵机进行不同角度转动,下面给出旋转角度与脉冲时间及对应占空比的关系(时基脉冲=20ms). 旋转 ...

  3. GD32E230开发笔记-GD32E230点亮LED

    GD32E230开发笔记-GD32E230点亮LED 1.硬件设计 2.软件设计 GPIO的初始化 延迟函数 3.调试效果 4.程序源码 1.硬件设计 在GD32E230C-START-V1.0开发板 ...

  4. 【STM32F407学习笔记】GPIO(一)

    [STM32F407学习笔记]GPIO(一) 1. GPIO基础知识 1.1 GPIO工作方式 1.2 GPIO相关配置寄存器 1.2.1 端口模式寄存器:GPIOx_MODER 1.2.2 输出类型 ...

  5. CC2540开发板学习笔记(一)——LED点亮

    一.实验内容: 点亮LDE1.2 二.实验原理: 1.电路原理图: 就一个发光二极管串联一个电阻.电阻是为了防止电流过大.利用发光二极管的单向导电性,在P1为高电平是点亮LED,在低电平是熄灭LED. ...

  6. verilog学习笔记- 12)触摸按键控制LED灯实验

    目录 简介: 实验任务: 硬件设计: 程序设计: 下载验证: 简介: 触摸按键主要可分为四大类:电阻式.电容式.红外感应式以及表面声波式.根据其属性的不同,每种触摸按键都有其合适的使用领域. 电阻式触 ...

  7. 【小月电子】安路国产FPGA开发板系统学习教程-LESSON1点亮LED灯

    点亮LED灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去其中一些步骤.比如非常简单的项目,我们 ...

  8. 【小月电子】XILINX FPGA开发板(XLOGIC_V1)系统学习教程-LESSON1点亮LED灯

    点亮LED灯例程讲解 若要观看该博客配套的视频教程,可点击此链接 开发板实物图 图1.FPGA设计流程 根据多年工作经验,总结出的FPGA的设计流程,概括起来总共有以上12步,其中根据项目难易度可省去 ...

  9. 【ESP32DEVKITV1学习笔记】点亮一盏LED灯

    目录 前言 一.环境的搭建 1.下载Visual studio Code 2.下载platformIO IDE 二.点亮一盏LED灯 1.硬件准备 2.新建工程 3.烧录 总结 Q&A 前言 ...

  10. 学习freertos之点亮led入门(stm32c8t6)

    首先,freertos移植是第一步,野火.原子.普中都有介绍. 这里记录一下,使用freertos点亮两个led,两个led之间的运行不受影响,不必等待点亮第一个led后,再点亮第二个led,这样cp ...

最新文章

  1. RACER: Rapid and accurate correction of errors in reads 快速、准确地修正读数中的错误
  2. Java 单例设计模式
  3. 和 的运算(值)结果 及 Boolean结果
  4. python计算器基础知识_Python基础(一):将Python当做计算器、编程的第一步
  5. 使用php最容易犯的11个MySQL错误。
  6. java调用怎么调用方法区_Java中的方法调用有多昂贵
  7. numpy 转置_Numpy基础:数组转置和轴对换
  8. oracle监听服务丢失,Oracle监听服务无法启动或丢失的解决办法
  9. 《Android游戏开发详解》一2.9 类
  10. 物理增强的深度学习模型改善卫星图像对热带气旋强度和大小估计(翻译)
  11. QQ头像无法加载,显示初始默认头像的解决方法
  12. Abaqus中多层介质设置初始应力场(补充介绍)
  13. 计算机校本培训 培训总结,校本培训工作总结(2015—2016学年度)
  14. 计算机网络运动会入场词,运动会入场词
  15. python爬虫实现批量下载百度图片
  16. 如何像「西瓜足迹」一样,将用户导流到公众号上变现?
  17. pt-archive使用
  18. ImportError: cannot import name ‘_maybe_get_pandas_wrapper_freq‘ from ‘statsmodels.tsa.filters._util
  19. Linux驱动基础开发
  20. java字符串数组转数字数组_Java数字字符串如何转化为数字数组并且排序

热门文章

  1. 打字练习网站keybr.com
  2. iPhone 13不会自动锁屏该怎么解决?
  3. 南方cass提取坐标生成表格_如何在CAD中或者CASS中将坐标导入到EXCEL表格(个人笔记)...
  4. adxl345取出值怎么算角度_ADXL345测量倾斜角度数据跳动
  5. MYSQL存储过程详解(创建、变量的定义、异常、错误输出、游标、调试)
  6. 《SEM长尾搜索营销策略解密》一一2.13 ZARA,零售界的长尾赢家
  7. 2021 iOS面试题大全---全方面剖析面试(二)
  8. 卸载windows激活码
  9. HAL库学习笔记-10 HAL库外设驱动框架概述
  10. Mysql数据库锁与隔离级别