http://www.cnblogs.com/imapla/archive/2012/09/10/2678814.html

20130417 Quartus II 12.0 不支持波形仿真,推荐使用 QuartusII 9.1,win7 32/64 bit 均可用~

下载地址 http://download.altera.com/akdlm/software/quartus2/91/91_quartus_windows.exe

破解工具 http://files.cnblogs.com/imapla/QuartusII91_Crack.zip

Quartus II 出到12.0了,之前装了11.0一直无法破解,无奈之下试了试装上12.0,竟然破解成功了,发上了与大家共享一下。

Quartus II 各种集合下载地址:ftp://ftp.altera.com/outgoing/release/

Quartus II 12.0 下载地址:https://wl.altera.com/download/software/quartus-ii-se/12.0/zh_CN

1.软件:手头开发板上的芯片是 EP2C5T144C8N-Cyclone II 所以我只选择了主程序和一个配套器件库。

2.安装:安装路径不要有中文,其他基本上就是一路Next了,注意安装过程中 Quartus II 可能会出现假死的情况,此时千万不要结束程序,耐心等待即可,等我下次重装的时候再给大家出个教程吧。

3.破解:关闭杀软,打开 Quartus_II_12.0_x86破解器.exe ,点 “应用”,破解 \“你的安装目录”\quartus\bin下的sys_cpt.dll文件。如果出现 “未找到该文件。搜索该文件吗”,点击“是”,(建议直接把该破解器Copy到 \“你的安装目录”\quartus\bin 下,就不会出现这个对话框,而是直接开始破解。

#把生成的 license.dat 文件也放在上述目录中,用记事本打开文件,把license.dat里的 XXXXXXXXXXXX 用您老的网卡号替换(在Quartus II 12.0的Tools菜单下选择License Setup,下面就有NIC ID,此时打开软件可以先选择试用)。

#在Quartus II 12.0的Tools菜单下选择License Setup,然后选择License file,最后点击OK。

#注意:license文件存放的路径名称不能包含汉字和空格,空格可以用下划线代替。

#祝大家破解成功!

破解文件下载:Quartus_12.0_x86破解器.rar Quartus_12.0_x64破解器.rar

Quartus II 12.0 下载、安装和破解相关推荐

  1. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

  2. Quartus II 9.1的安装与破解

    Quartus II 9.1的下载地址:ftp://ftp.altera.com/outgoing/release/91_quartus_windows.exe 官网地址:http://www.alt ...

  3. Quartus II 15.0详细安装步骤

    安装步骤: 安装前先关闭杀毒软件和360卫士,注意安装路径不能有中文,存放安装包的路径最好也不要有中文. 1.解压安装包. 2.安装前下载相应的器件库文件.再安装包–>器件库下载地址–>器 ...

  4. Lightroom Classic 12.0下载安装教程LRc12.0一键下载安装

    下载地址在后面 1.鼠标右键解压到"InCopy 2023" 2.找到并选中Set-up,鼠标右键点击"以管理员身份运行" 3.选择软件安装路径,点击" ...

  5. Quartus Primer 17.0 下载和安装

    在对FPGA进行开发的过程中,一款合适的IDE是少不了的,Intel(Altera)的FPGA使用Quartus Primer 软件进行开发. 记录一下Quartus II 17.0下载安装的过程: ...

  6. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

  7. Quarter II 13.0下载、安装、破解包括可能出现的几乎所有的问题详解

    首先感谢这篇文章的主人:江清月明 https://blog.csdn.net/qq_18649781/article/details/81025650 截止到我写这篇博客为止,她的这篇博客的访问量已经 ...

  8. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  9. quartus ii 9.0 安装教程

    quartus ii 9.0 安装教程 安装教程 破解教程 使用说明 下载地址 安装教程 下载好软件安装包,将其解压好,然后双击运行里面的"90_quartus_windows.exe&qu ...

  10. Quartus II 13.0 添加器件库教程(包含下载地址)

    Quartus II 13.0 添加器件库教程(包含下载地址) 记录本人添加器件库的过程,同大家分享. 安装Quartus II 13.0请自行参照 CSDN:https://blog.csdn.ne ...

最新文章

  1. python3中的int类型占64位_在windows 10 64位计算机中,默认情况下,numpy数组数据类型将以int32形式出现...
  2. 手脱UPX(堆栈平衡原理)
  3. 反射和动态代理实现上下文切入AOP效果
  4. 一份详尽的利用 Kubeadm部署 Kubernetes 1.13.1 集群指北
  5. php+条件限定符,const 限定符
  6. 逻辑运算和作用域的问题
  7. L2-002 链表去重(链表+模拟)
  8. java中的基本算法
  9. Eclipse中查看JDK类库的源代码
  10. Web的测试内容和方法【转贴】
  11. z变换解差分方程例题_Z变换及差分方程求解.doc
  12. Cadence-Allegro(一)----安装
  13. 基于讯飞语音引擎3.0.apk的Android原生TTS
  14. 大乐斗2服务器维护,《QQ宠物》和《乐斗II》停止运营
  15. 事件分发(EventDispatcher)模式
  16. Linux + JAVA得到各种格式的文件正文(office文件,PDF,邮件,html,zip等)
  17. 【linux】posix是什么都不知道,还好意思说你懂Linux?
  18. 使用简单的逻辑方法进行独立思考
  19. 关于做产品与做项目的区别
  20. 红米k40 刷面具后升级系统

热门文章

  1. Python进阶(二十)Python爬虫实例讲解
  2. docker配置阿里云镜像加速器
  3. QPSK调制与解调(matlab,详细介绍仿真方案的设计、结果及结论、完整代码及注释)
  4. html5游戏作弊码,HTML5新手必备的入门指南秘籍
  5. 单例模式之懒汉式(线程安全)
  6. z17刷机miui12教程_MIUI12刷机
  7. Oracle备份恢复之闪回技术
  8. idea的导包快捷键+自动导包设置
  9. mysql 给root授权_mysql授权
  10. 一级造价工程师(安装)- 案例笔记