首先感谢这篇文章的主人:江清月明
https://blog.csdn.net/qq_18649781/article/details/81025650

截止到我写这篇博客为止,她的这篇博客的访问量已经达到了166013,我觉得这是一个wonder,所以现在再次向她致敬,另外,我发现好多其它的博客都是照着这篇博客来写的,包括图都是没有变化,所以有些地方就是会出现明显的错误,盲目引导着大家去犯错误,最后大家也没有安装成功,还不知道自己错误在哪里。

所以,今天,可爱的超超同学在一上午犯错的过程中,终于将Quarter II给装好了,为了让以后想要学习FPGA的同志们,在安装Quarter II这条道路上能尽量不要犯下错误,这也是本篇博客的意义所在,诚挚的希望能够帮到大家:(我在之前博主没注意然后大家总是犯错的地方是用这样的标记的,大家注意啊

一.首先,安装Quarter II 13.0.1软件的下载
如果你没有那么高的要求,用个低版本的Quartus II 就足够了,而且低版本的软件比较稳定, 为了免去大家找安装文件版本号不匹配的情况,我在这里把我所用的 Quartus II 13.0.1 版本的源安装文件、破解文件和 器件库(Cyclone, Cyclone II, Cyclone III, Cyclone IV device support )

软件的下载:(注意:三个文件都下载下来)
链接:https://pan.baidu.com/s/1I_6-9f0wvEpF_utkTjjsUQ 密码:u6ef
(链接是那位博主的,下面这个图片也是,我的网盘存了好多东西,不敢存了~~~)

二,详细的安装过程
1.打开后缀为.exe的文件:
步骤图如下:

双击打开.exe文件后便会出现下面这个界面,接着点击“next”

这个界面,是相当于规则协议之类的东西,因为这个是一个付费软件,所以这个可能就是为了反侵权等设计的,但是作为我们可伶的穷学生,虽然咱也想支持正版事业,但是咱没那个能力,所以最后为了学到知识还是学会了破解这个软件。

点击“I accept the argument”,接着“next”

下面出现的这个界面是提示你将文件存放在什么地方:默认是C盘,但是考虑到我的C盘盘符空间已经不足了,所以就放到里相对宽裕的F盘,还是放到开始解压之后的那个目录:F:\QuarterII

另外,我在这里要提醒大家:文件名一定不要设置成有中文或者是空格形式的(最好是纯英文),要不然安装完成之后,打开在桌面上的快捷图标,会出现闪退问题,我第一次安装的时候就是因为文件夹的名字设置成“QuarterII(FPGA工具)”,所以最后桌面上的文件无法打开,哎,扎心啊~~~



下面的图也是点击“next”就可以了

***这个又是一个要注意的地方啦:就是蓝框的那一行不要勾选,要不然装元器件的时候会有无法选择,那块的对勾是灰色的。最后还是要重新安装,有的电脑可能出不来,这时在选好安装路径之后,让选择components,最后一项就是device,把那个勾去掉就可以了。***(当时的我又放的一个错误)

最后一步有三个选项(1quartus2 subscription2devices3cyclone cyclone2/3/4 )勾上对勾,然后点next,我的电脑上明明显示了已经打上了对勾,但我点next的时候,它说我需要至少选一样勾上才能安装,

这就是当时报错情况
要是还是不行的话,那么你要么是重装,要么就是找一个版本更低的试试。

后面的几部分只需要一直“next”就OK了,耐心等待安装完成即可。(等待个10分钟左右)


这就是最后的安装界面,点击“Finish”,结束!

好的,相信你们的安装过程是不会有什么问题的了,大家开始破解的过程~~~

三.破解过程
1.相信大家现在都是64位操作系统吧!(我和那位博主的电脑都是win10 64位操作系统,我不知道别的windows版本能不能兼容,实在不行的话就可以安装一下虚拟机,里面可以放别的操作系统或者是windows的不同版本)
破解器解压之后就是这样:(还是用第一张图片)

我们选择的是:Quarter——13.0——x64破解器.rar进行解压
2、在此注意一下,请把自己电脑的杀毒软件都关掉,一定是退出,要不然破解软件会被误认为是病毒,然后被清除。(实在关不掉的话,就是鼠标右键破解器——找到——电脑管家——进行信任的管理,这个我有点记不清了,你们看一下图:)


点击“恢复”就好了
打开文件之后,理论上是 点击“应用”,会自动打上补丁,但是会出现下图的情况。(博主的图,我是没遇到)

3.3、 出现上图的情况是因为,没有把破解文件放在安装目录下,所以在这里,要将 压缩包里面的破解文件放在安装目录下。

没有此问题的童鞋们可以直接跳到第3步哦~~~

1)、将下面的文件copy一下(博主的图)

2,将下面的文件放到F:\Quarter\quartus\bin64下面,如下图所示:

3.然后在此处点击刚刚粘贴进来的破解文件,会出现下图:


4.这个时候查到sys cpt.dll文件,双击此文件。

5、此时会在安装目录下方生成一个“license”文件,点击“保存”就行了。

6、最后,破解器会这样显示,点击“退出”就可以了

7、运行桌面自动生成的“Quartus II 13.0(64-bit)”快捷方式,选择第二个,点击“ok”。

8、选择“tools”下方的“license setup”后,会弹出如下窗口,将ID复制即可。


注意:这个界面我们等一下还要重新操作一下,因为
Host ID Type显示的是Not found,事实上这个应该显示的是我们的以太网的物理地址

用快捷键win+R打开cmd,输入:ipconfig -all
复制下:箭头指向的几位数字

80CE624A13C4这是我的
如何让这个license setup界面打开跟博主是一样的呢?我考虑了好多次,最后发现是路径的问题,开始的时候是我的路径的问题,中间直接跨了一级,后来第二次尝试的时候,是还没有破解器生成license.dat就打开了那个license setup,结果路径是对了,但是还是没有显示出8位数字,所以最后你们按照操作一步步进行,是可以的,实在不行再看前面的教程再来一次,记得路径不管对与不对,都要自己重新写下,这样保险一点。

9、在“QuarterII*\quartus\bin64\”中找到“license.dat”文件(也就是刚才破解器生成最后要我们保存的文件),并以记事本打开,如下图,画红色框的地方,将上一步所复制的 NIC ID替换掉 “XXXXXXXXX” 就完成了破解。
注意:两段“XXXXXXXX”都要替换掉而且后面的博主的提示也是要删掉的,最后保存好。
这里的NIC ID其实就是我们刚才上面复制的8位字符,


10、接下来就可以自己查看一下了,打开 Quartus II ,按照下面的步骤打: Tool --> License Setup ,会出现下图所示:

好的,我们的期限是2035年,还能用个16年,不错了呢。
四.器件库的安装、常出现的问题以及基本的原理说明:(下面的图都是那个博主的,我是第一步就已经完成了第二步,我也是很郁闷,直接跳过了好多下面的步骤)

  1. 当你满心欢喜打开 Quartus II 软件进行使用的时候,突然出现: You successfully installed the Quartus II software,but did not install any devices.Do you want to launch the device installer to add devices? 这种情况下应该如何处理呢?其实是因为 Quartus II 软件里面没有安装器件库导致的,可以这样理解: 你盖了一个新房子,但是你想在房子里看电视,没有电视机你怎么看电视? 所以还需要安装电视机,才能正常的去看电视,这里的器件库其实就是相当于是“电视机”。所以接下来我们就去安装一下电视机。

  2. 首先,我在最上面的百度网盘地址里面放的器件库是 Cyclone, Cyclone II, Cyclone III, Cyclone IV芯片相关的器件库,所以如果你所使用的开发板正好是这些芯片的话,可以不用自己去下载了,直接安装我在百度云盘里面上传的这个文件就可以了,如果您需要安装的别的器件库,这里给您简单的说一下应该如何去下载。

1)、首先打开这个下载地址:http://dl.altera.com/?edition=standard

2)、 如下图所示,给出一些网页的基本操作:

第一个箭头可以选择: 版本号

在这里需要重点的说明的是,一定一定一定要下载一个和你所安装的Quartus II 版本一样的 器件库,否则你在执 行下面的安装过程的时候就会报错( 没有找到 .qzd 文件 )。

第二个箭头可以选择 不同的系统。

第三个箭头,推荐的下载方式是 直接下载,在这里需要说明一点的是,下载的时候需要注册账号的,你就跟着提示一步步的执行就ok了,还需要安装一个小小的软件,下载方式如下图中的第二张截图一样。

3)、 下载完成的 .qzd 文件,一定要放到 安装 Quartus II 软件的 bin目录下。


3. 接下来就是安装过程了: 首先找到自己的菜单里面的: Quartus II 13.01 Device Installer,位置如下图所示:

4. 打开之后,点击 Next

5.就会出现如下图所示,一般都会默认将这个位置的,设置为bin目录,所以这就是为什么上一步说了 一定要把你所下载好的 .qzd 文件放在bin目录下的原因,如果bin目录下有了 ,qdz文件,就点击 Next 继续执行,如果你明明把下载好的 .qdz 文件放在bin目录下了,还是报错“没有找到 .qdz文件‘,那么恭喜你,你下载的器件库与你所安装的Quartus II 软件的版本型号不匹配,需要你在重新下载一遍 .qdz 文件,在这里请跳转到 " 三、安装器件库" 的第 2 步,重新下载一个器件库。

6 接下来,选择 你所要安装的器件库,选择完之后,点击Next 按钮。

7. 继续 点击 Next 。

8点击 Finish ,你就完成了该器件库的安装 。

好的,废了我几乎一天的时间来弄这个软件,哎,希望大家也能顺利装上。
最后,希望大家关注一下我的微信公众号“空谷小莜蓝”,谢谢大家。
---------东秦王小超感谢您~~~

Quarter II 13.0下载、安装、破解包括可能出现的几乎所有的问题详解相关推荐

  1. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  2. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

  3. 全网最全的Windows下Anaconda2 / Anaconda3里正确下载安装用来定时任务apscheduler库(图文详解)...

    不多说,直接上干货!  Anaconda2 里 PS C:\Anaconda2\Scripts> PS C:\Anaconda2\Scripts> pip.exe install apsc ...

  4. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

  5. Quartus II 13.0 添加器件库教程(包含下载地址)

    Quartus II 13.0 添加器件库教程(包含下载地址) 记录本人添加器件库的过程,同大家分享. 安装Quartus II 13.0请自行参照 CSDN:https://blog.csdn.ne ...

  6. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  7. QuartusII_13.0下载及破解工具地址

    转自: http://blog.csdn.net/springlustre/article/details/25008997 QuartusII_13.0下载及破解工具地址 QuartusII_13. ...

  8. Java 13 的下载安装教程

    Java 13 的下载安装教程 说明: 本文介绍的是 Windows 下安装 Java 的方法.对于 Linux 下 Java 的安装,可见笔者的另一篇博客: 在 Linux 操作系统中安装 Java ...

  9. LR8.0、8.1、9.0下载和破解方法

    LR8.0.8.1.9.0下载和破解方法 1.[url]http://www.3atesting.com/bbs/thread-3188-1-2.html[/url]   LR8.14 ---IE7补 ...

最新文章

  1. pid控制从入门到精通pdf_网络工程师从入门到精通通俗易懂系列 | 访问控制列表ACL原来还可以这样理解,果断收藏!...
  2. Mware HA实战攻略之五VMwareHA测试验收
  3. Fiddler快速入门
  4. C++:二维数组和二维向量的长度
  5. key store是否创建_EKS-实践一 创建EKS集群
  6. Linux内核和Linux发行版(了解)
  7. 【java】swing窗口及继承的应用
  8. fgo国服登陆显示服务器错误,命运冠位指定安装失败怎么办 FGO国服安装失败解决办法...
  9. 富士施乐3065扫描教程_富士施乐打印机3065怎么连接电脑扫描
  10. Win8 无法连接打网络打印机(HP LaserJ1010)
  11. 什么是AAR文件以及如何在Android开发中使用
  12. php+rabbitMQ操作之延迟队列(延迟插件)
  13. ThinkPHP 验证码错误
  14. megui 2913 汉化版 压制特效的方法
  15. unity 录屏插件总结 以及 AVProMovieCapture 5.0.0 安卓录制失败问题
  16. stm32中的“hello world”
  17. mock.js的使用
  18. 机器学习之R语言caret包trainControl函数(控制调参)
  19. android 汉字笔画拆写,基于形状分割的手写汉字笔划提取方法
  20. golang---实现wake on lan

热门文章

  1. 完数什么意思_合数是什么数字
  2. 华三交换机如何进入配置_华三交换机配置样例
  3. 如何在Photoshop的剪贴蒙版制作一个放大镜
  4. matlab:杨氏双缝干涉
  5. Midas MeshFree 2020 R1中文版
  6. 标准日本语-初级(上)-基础单元-寒暄语
  7. 【3D实践】3D曲率原理及计算(3D-Mesh)
  8. 先科H58A投影仪值得入手吗?比起哈趣H1哪个更好用?
  9. awk ARGIND
  10. dbms_job.submit job 使用说明