边沿触发器 || D触发器 || JK触发器 || 逻辑功能转换 || 脉冲工作特性 || 重难点 || 数电

1.触发器基础

前面介绍了门控锁存器。对于门控锁存器,在控制信号C有效期间,输入信号的任何变化都将直接引起锁存器输出状态的改变。因此也可以说,门控锁存器是对电平敏感的。

本文将介绍触发器(FF:Flip-Flop),触发器是对边沿敏感的。

触发器分为两种类型:

  • 主从触发器(现在很少见)
  • 边沿触发器

主从触发器现在很少见了,实际使用的都是边沿触发器,所以下面只介绍边沿触发器。

下面将介绍两种类型的边沿触发器:

  • D触发器
  • JK触发器

1.1 边沿D触发器

边沿D触发器的电路结构与逻辑符号如图所示,使用了3个由与非门构成的RS锁存器。

输入D从一个锁存器输入,两个锁存器共用时钟信号CLK,第三个锁存器产生触发器状态输出Q和Q非。此外还有一个异步置零端(RD非)和一个异步置一端(SD非)。

在边沿触发器的逻辑符号中,在C1端加上了动态符号——一个箭头,说明触发器只对时钟的上升沿响应,如果再在动态符号前面加上一个圆圈,则表示触发器只对时钟的下降沿响应。

输入端D前面标有一个“1”,表示这个输入端受时钟信号的影响,而在置一端和置零端S和R的前面没有标注1,说明这两个输入端不受时钟信号的影响,也就是说他们是异步置一和异步置零端。

靠近S和R的边框标有小圆圈,表明置1端和置0端是低电平有效。

关于上示电路的工作原理,请看视频1的3'15"~5'07"处,由于我实在是头晕,所以跳过这部分。

下面是D触发器的特性表。

下面是时序图,

1.2 边沿JK触发器

下面是负边沿JK触发器的逻辑图,是在正边沿D触发器的基础上,添加了部分逻辑电路。

它有两个输入端J和K。

CLK时钟信号经过反相后,加到正边沿D触发器的时钟输入端,因此得到的JK触发器是负边沿有效的。JK触发器的逻辑符号如下图右侧所示,C1时钟输入前面加了一个小圆圈,表示触发器只对时钟的下降沿响应。

另外要重点记忆JK触发器的特性方程。

下面是JK触发器的特性表,

时序图和状态图如下图所示,

1.3 T触发器

1.4 T'触发器

后面会经常用到T'触发器。

1.4 部分集成触发器

2.触发器逻辑功能转换

已有触发器加上转换电路构成代求触发器。

2.1如何把JK触发器转换为D触发器

2.2如何把JK触发器转换为T触发器

2.3如何把JK触发器转换为T‘触发器

2.4如何把D触发器转换为T触发器和T'触发器

2.5 例

活用数据选择器MUX。

3触发器的脉冲工作特性(了解)

3.1输入信号的建立和保持时间

建立时间是信号D提前于触发边沿的时间。

保持时间是信号D触发边沿到来后保持的时间。

3.2触发器的传输延迟时间

3.3触发器的最高时钟频率

3.4触发器的最小脉冲宽度

丢题目,

视频:MOOC-数字逻辑电路-第六单元 时序逻辑电路(1)-触发器

下降沿触发的jk触发器(带异步复位和置位功能)_边沿触发器 || D触发器 || JK触发器 || 逻辑功能转换 工作特性 || 重难点 || 数电...相关推荐

  1. 【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    1.设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule cnt60x (in ...

  2. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  3. 【verilog_9】: 设计16位二进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    2.设计16位二进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 法一 author : Mr.Mao e-mail : 2458682080@qq.commodule CNT_16B2 ...

  4. java 带横杠或下划线_的数据库字段变驼峰,比如ID_CARD_INFO_TITLE转换成idCardInfoTitle

    有个需求需要将数据库字段转换成驼峰字段,在网上找了一会这方面的工具, 写代码嘛,有现成的最好,但发现都写的乱七八糟, 有的各种引用工具类,有的是正则匹配来匹配去最后给出的结果还不对. 于是自己写了一个 ...

  5. 序列信号发生器,之D触发器异步复位和异步置位

    本来只想做一下序列信号发生器的手撕代码,但是综合之后看了一下生成的原理图,觉得无法理解,就研究了一下. 序列信号发生器很简单,我用移位实现的,代码如下 module xuliexinhao(input ...

  6. 数字电路设计——复位信号(异步复位、同步释放)

    数字电路设计--复位信号(异步复位.同步释放) 概述 同步复位 优点 缺点: 例1 例2 代码风格 异步复位 异步复位优点 异步复位缺点 移除复位信号的问题 异步复位问题解决--复位同步器.复位毛刺过 ...

  7. 异步复位和同步释放电路的详细解释

    1.首先给出异步复位信号亚稳态的原因: 复位结束也就是释放的时刻恰在时钟上升沿的建立时间和保持时间之间时无法决定现在的复位状态是1还是0,造成亚稳态. 下面是具体解释: 在带有复位端的D触发器中,当r ...

  8. 电平触发和边沿触发——数电第五章学习

    触发 电平触发 普通触发 D触发器 CMOS传输门组成的低电平触发 带异步置位.复位端的电平触发 边沿触发 CMOS中的边沿触发器 带异步置位.复位端的边沿触发 电平触发和边沿触发的区别 触发器和锁存 ...

  9. verilog异步复位jk触发器_Verilog专题(九)DFF、Dlatch、JK flipflop

    DFF.Dlatch.JK flip-flop 对于verilog的学习,这里推荐一个比较好的实践网站HDLBits: https://hdlbits.01xz.net/wiki/Main_Page ...

最新文章

  1. Qt QLabel 显示gif动图
  2. Boost:实现了k-means聚类算法
  3. (八)ThreadLocal的使用及原理分析
  4. 怎么做fastreport使用离线数据源
  5. Linux下ctrl+c,ctrl+z,ctrl+d的区别
  6. php ob缓存原理介绍
  7. OpenShift 4.3 - 获得ImageStream中使用的应用镜像离线包
  8. python len函数_你需要了解的最重要的Python概念
  9. NVM:使用NVM后报错npm:command not found
  10. 【.Net Framework 体积大?】不安装.net framework 也能运行!?原理补充-3
  11. mysql界面导出数据库有乱码_导出的MYSQL数据库是乱码还可以变回中文吗
  12. NPDP产品经理认证:精益画布使用六步法
  13. docker本地仓库(本地镜像仓库)环境搭建
  14. html设置字体仿宋GB2312,怎么设置仿宋gb2312字体,仿宋gb2312字体设置教程
  15. Java计算机毕业设计糖果销售管理系统源码+系统+数据库+lw文档
  16. ELK集群部署(六)之Kafka操作
  17. Word文件怎么打印
  18. The Recent Ten Years
  19. 可以一键生成crud的php框架,关于Laravel+vue+admin一键生成CRUD代码
  20. 微信端H5使用百度地图定位获取当前位置安卓定位不准

热门文章

  1. wms仓库管理系统选型要注意哪几点?
  2. HTTPS中间人攻击实践(原理·实践)
  3. C++与Rust变量声明的比较
  4. CentOS 7以yum方式安装zabbix3.2及配置文件详解
  5. 篮球弹起问题(for循环)
  6. PHP特级课视频教程_第二集 网站大数据存储_李强强
  7. Windows下用vs2017编译和配置libcurl库(手把手教,适合新人)
  8. android定义 task,Android Gradle 自定义Task 详解
  9. db2判断字符为空_算法之字符串转换为数字
  10. 如何编制试算平衡表_试算平衡表的编制