1.设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

法一

author : Mr.Mao
e-mail : 2458682080@qq.commodule cnt60x
(input clk,input reset,input [5:0] d,input en,input load,input sclr,output reg[5:0] q,output cout);always @(posedge clk,posedge reset)if(reset)q <= 0;else if(sclr)q <= 0;else if(load)q <= d;else if(en)if(q < 60-1)q <= q + 1'b1;elseq <= 0;assign cout = (q==60-1);endmodule

法二

author : Mr.Mao
e-mail : 2458682080@qq.commodule CNT_60(rst_a, en_s, load_s, clr_s, clk, q, data, cnt) ;
input clk, clr_s, rst_a, en_s, load_s;   //后缀有s的代表为同步,后缀为a的代表异步
input [0:5] data ;output q, cnt;   //其实这里的cnt并不需要输出,只不过为了仿真时更好观察计数过程,把cnt输出reg [0:5] cnt ;  //计数60,2^5 = 64 > 60
reg q ;always@(posedge clk or negedge rst_a)
beginif(!rst_a)    cnt <= 0 ;       //异步复位else if(en_s)beginif(load_s)             cnt <= data ;  //如果数据加载有效,则令计数器=输入的数据else if(clr_s)              cnt <= 0 ;else if(cnt <= 59)         cnt <= cnt + 1 ;else                        cnt <= cnt ;end
endalways@(posedge clk)
begin if(cnt == 59)   q <= 1'b1 ;else            q <= 1'b0 ;endendmodule

【verilog_8】: 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位相关推荐

  1. 设计60进制计数器,带异步复位、同步使能、同步装载、同步清零、同步置位

    设计60进制计数器,带异步复位.同步使能.同步装载.同步清零.同步置位 Design a modulus 60 counter, with asynchronous reset, synchronou ...

  2. 两片74161实现60进制_74LS161设计60进制计数器-数电课程设计

    计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时.分频和执行数字运算以及其它特定的逻辑功能. 计数器种类很多.按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有 ...

  3. 两片74161实现60进制_设计60进制的计数器_用eda设计60进制计数器_74ls161(3)

    图4 D/A 转换器电路 该电路的输入信号接四位二进制计数器的输出 端,设计数器输出高... 数字控制电路要求5V电源,可选择CW7805集成三端稳压器实现.辅助电源原理图如图6... <数字电 ...

  4. 74ls390设计任意进制计数器_异步FIFO:设计原理及Verliog源码

    1.  异步FIFO的概念 异步FIFO为读取与写入采用不同的时钟,使用异步FIFO用于在不同的时钟域传输数据,主要用于跨时钟域传输多bit数据. 2.  异步FIFO的设计难点 同步异步信号,避免亚 ...

  5. 两片74161实现60进制_用二进制计数器集成芯片74161设计:(1)60进制计数器 (2)6×10进制计数器 (3)10×6进制计数器的实验思路...

    74161是4位二进制计数器,有同步置数和异步清零功能. 下面所有的计数器方案都用同步逻辑来进行设计 (1)60进制计数器,进位条件为59,转换成二进制是111011 需要用两片74161,N1作为低 ...

  6. Verilog自顶向下设计24进制和60进制计数器(FPGA)

    提供Verilog自顶向下设计24进制和60进制计数器(1Hz,频率可调)并用数码管动态显示的代码,且两个程序皆在Basys2开发板上验证通过.程序思路: 首先将程序分为4部分:分频程序.计数程序.数 ...

  7. 两片74161实现60进制_74ls161集成60进制加法计数 - 74LS161集成计数器电路(2、3、4、6、8、10、60进制计数器)...

    二.74LS161集成计数器电路(60进制计数器) 实现60进制加法计数器需要2片161,它们之间的连接方式又分同步和异步两种. 1)异步连接方式.低位的161通过置数法设计为十进制加法计数器,每十个 ...

  8. 【EDA技术】 实验二 BCD码输出的60进制计数器的VHDL

    一.实验目的 (1) 熟悉EDA软件,并能熟练使用. (2) 分析设计任务,根据任务要求完成设计内容. (3) 利用软件对设计内容进行仿真调试,得到正确运行结果. 二.实验要求 (1)设计具有异步清零 ...

  9. 两片74161实现60进制_数字逻辑题:用74161构成7进制计数器分别采用复位法和置数...

    74161 是四位二进制同步计数器,有数据置入功能.未计数前,将输出QD,QC,QB,QA,置成10 3)按计数增减分:加法计数器,减法计数器,加减法计数器. 7.3.1 异步计数器 一,异步 可以3 ...

最新文章

  1. STL笔记(5)条款49:学习破解有关STL的编译器诊断信息
  2. pfx证书密码怎么查询_2019成考成绩查询时间通知!忘记账号和密码怎么办?
  3. shell 脚本比较字符串相等_LINUX快速入门第十六章:Shell 流程控制
  4. 软件研发效能度量团体标准获得立项
  5. 基于jquery类库的绘制二维码的插件jquery.qrcode.js
  6. html5中语义元素的是,在html5中语义元素应用分析
  7. Mybatis注解的方式,如何实现MySQL ,update后,返回更新后的值
  8. python为什么叫爬虫-python为什么叫爬虫?为什么python开发会突然火起来?
  9. 力扣-1641. 统计字典序元音字符串的数目
  10. VC6.0和VC2005项目配置详解
  11. ccd视觉定位教程_CCD视觉定位激光打标机的工作原理
  12. 偶遇的webshell,那得冲一波
  13. 【重要】有三AI知识星球不再对外公开!还想加入的有哪些途径?
  14. Qt获取键盘按键ctrl和alt以及shift按键按下和松开
  15. 把网站服务器调黑白,服务器安全狗怎么设置黑白名单?
  16. 1.PlantUML-时序图
  17. PHP时间戳和日期互转换
  18. 如何将nii图像文件转换为png图像,亲测有效!!!
  19. http方法有哪些?get、post、put、delete,为什么一般只用过get和post?什么时候用put、delete,为什么没用过
  20. Unity UDP传输图片

热门文章

  1. make menuconfig 添加新选项
  2. win7信息服务器iis管理器,win7系统打开Internet信息服务(IIS)管理器的操作方法
  3. 骨传导蓝牙耳机哪款好、骨传导蓝牙耳机品牌排行榜前五名
  4. tf(Transform Frame)变换
  5. WPF-WrapPanel
  6. 游戏开发-丛林战争制作2
  7. 9981难的哈尔滨的辛酸之旅
  8. 姓名是成人高考计算机类,成人高考计算机专业就业前景怎么样?
  9. 【信号与系统学习笔记】—— 一起走进“卷积”的世界 2【系统基本性质和卷积的关系】
  10. ubuntu 安装微软雅黑和 Consolas 字体