DFF、Dlatch、JK flip-flop

对于verilog的学习,这里推荐一个比较好的实践网站HDLBits:

https://hdlbits.01xz.net/wiki/Main_Page

本系列记录一些我觉得有价值的题目,希望通过这些题目可以对verilog更加熟练。

D flip-flops    D触发器根据复位的不一样可以分为同步复位DFF和异步复位DFF,之间的区别就是复位信号是否在敏感列表中。

同步复位DFFmodule top_module (    input clk,    input reset,            // Synchronous reset    input [7:0] d,    output [7:0] q);    always@(posedge clk)begin        if(reset) q=0;        else q=d;    endendmodule异步复位DFFmodule top_module(  input clk,  input [7:0] d,  input areset,  output reg [7:0] q);    always @(posedge clk or posedge areset)    if (areset)      q <= 0;    else      q <= d; endmodule

D Latch

锁存器是电平触发,高电平跟随,低电平保持。

module top_module (    input d,     input ena,    output q);        always@(ena)begin        if(ena)q=d;    end    endmodule

JK flip-flop

J

K

Q

0

0

Qold

0

1

0

1

0

1

1

1

~Qold

module top_module (    input clk,    input j,    input k,    output Q);    always@(posedge clk) begin        if(~j & ~k) Q <= Q;        else if(j&k) Q <= ~Q;        else if(~j & k) Q <= 0;        else Q <= 1;    endendmodule

总结

一、锁存器

锁存器(latch)—对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,仅当锁存器处于使能状态时,输出才会随着数据输入发生变化。锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。

锁存器(latch):我听过的最多的就是它是电平触发的,更具体一点应该是:锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。(简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程)。

应用场合:数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。

缺点:时序分析较困难。不要锁存器的原因有二:1、锁存器容易产生毛刺,2、锁存器在ASIC设计中应该说比ff要简单,但是在FPGA的资源中,大部分器件没有锁存器这个东西,所以需要用一个逻辑门和ff来组成锁存器,这样就浪费了资源。

优点:面积小。锁存器比FF快,所以用在地址锁存是很合适的,不过一定要保证所有的latch信号源的质量,锁存器在CPU设计中很常见,正是由于它的应用使得CPU的速度比外部IO部件逻辑快许多。latch完成同一个功能所需要的门较触发器要少,所以在asic中用的较多。

二、触发器

触发器(Flip-Flop,简写为 FF),也叫双稳态门,又称双稳态触发器。是一种可以在两种状态下运行的数字逻辑电路。触发器一直保持它们的状态,直到它们收到输入脉冲,又称为触发。当收到输入脉冲时,触发器输出就会根据规则改变状态,然后保持这种状态直到收到另一个触发。

触发器(flip-flop)是对脉冲边沿敏感,其状态只在时钟脉冲的上升沿或下降沿的瞬间改变。

触发器(flip-flops)电路相互关联,从而为使用内存芯片和微处理器的数字集成电路(IC)形成逻辑门。它们可用来存储一比特的数据。该数据可表示音序器的状态、计数器的价值、在计算机内存的ASCII字符或任何其他的信息。有几种不同类型的触发器(flip-flops)电路具有指示器,如T(切换)、S-R(设置/重置)J-K(也可能称为Jack Kilby)和D(延迟)。典型的触发器包括零个、一个或两个输入信号,以及时钟信号和输出信号。一些触发器还包括一个重置当前输出的明确输入信号。

应用场合:时钟有效迟后于数据有效。这意味着数据信号先建立,时钟信号后建立。在CP上升沿时刻打入到寄存器。

verilog异步复位jk触发器_Verilog专题(九)DFF、Dlatch、JK flipflop相关推荐

  1. (33)VHDL实现异步复位D触发器

    (33)VHDL实现异步复位D触发器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现异步复位D触发器 5)结语 1.2 FPGA简介 FPGA(Field Program ...

  2. verilog异步复位jk触发器_异步复位同步释放原理

    关键词:同步释放,recovery,removal 在数字IC设计中肯定会涉及到异步复位的问题,因为需要对电路进行复位操作.这种复位设计主要依靠前端设计以及工具来检查,从数字IC后端的角度上讲,只要在 ...

  3. verilog异步复位jk触发器_同步复位和异步复位常见问题总结

    总结同步和异步复位使用中的常见问题. 同步复位 问题1: 综合工具并不能轻易区分出同步复位信号和其他数据信号,综合出来的电路不唯一,某些电路结构在网表仿真时可能出现x态. 该段代码可能综合出两种电路结 ...

  4. verilog异步复位jk触发器_HDLBits: 在线学习Verilog(Problem 120-126)

    这几天在刷HDLBits,参考的是HDLBits中文导学专栏:HDLBits中文导学,刷到120题的时候发现缺少了中间的120题到126题的解析,就打算自己边写边记录一下.也方便其他的同学进行参考. ...

  5. verilog异步复位jk触发器_以不变应万变的异步FIFO面试宝典(二)

    异步FIFO面试宝典(二) 上一期为童鞋们带来了FIFO工作的基本原理,本期将继续与各位童鞋探讨FIFO类面试相关问题.首先让我们回顾一下上一期的课后思考题: 如果读时钟域速度较快.写时钟域速度较慢( ...

  6. verilog异步复位jk触发器_JK触发器(异步复位置位)

    LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY jkff IS PORT(clk,j,k,clr,pset:IN STD_LOGIC; q,qb:O ...

  7. 下降沿触发的jk触发器(带异步复位和置位功能)_边沿触发器 || D触发器 || JK触发器 || 逻辑功能转换 工作特性 || 重难点 || 数电...

    边沿触发器 || D触发器 || JK触发器 || 逻辑功能转换 || 脉冲工作特性 || 重难点 || 数电 1.触发器基础 前面介绍了门控锁存器.对于门控锁存器,在控制信号C有效期间,输入信号的任 ...

  8. 几种常见的集成触发器(D、T、JK)

    同步RS触发器存在"空翻"现象,即触发器存在多次翻转的现象,空翻破坏了"时序电路按时钟节拍工作,每个时钟脉冲作用下电路的状态只发生一次转换"的基本原则 解决方法 ...

  9. 异步复位同步释放机制-系统完美稳定

    原文地址:FPGA之家 首选我们来聊聊时序逻辑中最基础的部分D触发器的同步异步,同步复位即复位信号随系统时钟的边沿触发起作用,异步复位即复位信号不随系统时钟的边沿触发起作用,置数同理,rst_n表示低 ...

最新文章

  1. 美国卡尔顿学院计算机专业怎么样,美国卡尔顿学院排名
  2. Android 设定横屏,禁止屏幕旋转,Activity重置 [更新视频播放器相关]
  3. Sharepoint 2010新体验之一-----基于Claims的全新验证机制
  4. static静态关键词 1214
  5. python线程wait_python基础线程-管理并发线程
  6. Java基础--多态
  7. 懒人小技巧, Toad 常用偷懒方法
  8. P1541 乌龟棋 线性dp
  9. java des 加密 js 解密_js 实现DES加密解密
  10. CentOS 8 下载及安装
  11. 传统蓝牙HCI连接的流程介绍
  12. 作为阿里的面试官,我有话想说。
  13. 字典树(单词查找树、Trie)
  14. 关于(求和符号∑)不可不知的事情
  15. linux如何查看哪个串口是真实串口,哪个串口
  16. 汉画轩国学传承国学精髓 解惑修身之道
  17. FPGA实现SD卡音乐播放
  18. BUUCTF others babystack
  19. C++封装复数类,实现复数运算
  20. 菜鸡的反思、历险和flag

热门文章

  1. MVVM Light Toolkit使用指南
  2. webpack轻松入门教程
  3. 如何把我的Java程序变成exe文件?
  4. angular element()
  5. 只有对经过自己努力才得到的东西,我才会高兴。
  6. Android应用程序变量
  7. 漂亮图片演示ajax制作教程-lightbox
  8. git-从入门到熟悉
  9. 操作系统学习笔记-2.1. 2进程的状态与转换
  10. linux内核等价多路径路由,Linux内核分析 - 网络[四]:路由表