总体上:wait()和@()都是等待()中的内容的触发

1.wait()中的内容为电平触发,即只要()中的内容为1就触发;而@()中的内容是0/1跳变才触发

program automatic test_event();logic clk,a,b,c;initial beginclk=1'b1;//固定到高电平endinitial beginwait(clk) $display("i have reached clk");//只要clk为1就触发,因此只触发这句endinitial begin@(clk) $display("reached posedge of clk");//clk跳变才触发,因此该处不会被触发end
endprogram

2.wait只等待一次,@每时每刻都在等待

program automatic test_event();logic clk,a,b,c;initial beginclk=1'b10;#3 clk=1'b1;endinitial beginforkbegin#5;wait(clk) $display("i have reached clk");//5ns时clk已经为1,所以这句后触发endbegin@(clk) $display("reached negedge of clk");//3ns时发生了跳变,先触发这句endjoinend
endprogram

SV学习笔记—wait和@的区别相关推荐

  1. SV学习笔记—类型转换

    1.静态转换 静态转换操作不对转换值进行检查,格式是type'(value): program test;initial begin$display("int'(42+1.1) is&quo ...

  2. SV学习笔记—结构体及枚举类型及字符串

    目录 1.结构体 1.1 压缩结构体 1.2 非压缩结构体 1.3联合结构体 2.枚举类型 3.字符串 1.结构体 Verilog的最大缺陷之一是没有数据结构,SV中可以使用struct语句创建结构, ...

  3. SV学习笔记—浅复制和深复制的区别详解

    目录 1.句柄的复制 2.对象的复制 2.1浅复制shallow copy 2.2深复制deep copy 3.this的用法 在类中,复制一半会涉及到句柄的复制,浅复制和深复制3种操作,下面来看看这 ...

  4. SV学习笔记—多态与类型转换

    0.前言 当同一操作作用于不同对象,能有不同的解释从而产生不同的结果,这就叫做多态,多态在验证中被大量使用 多态的实现基础是什么? 1.多态的实现基础是继承,没有继承就没有多态 2.多态通过子类覆盖父 ...

  5. SV学习笔记—子程序

    1.子程序参数 SV对子程序的改进使参数的声明变得更方便,同时也扩展了参数传递的方式 Verilog-1995的子程序参数设置: task mytask2;//无( )output[31:0] x;r ...

  6. SV学习笔记—数据类型

    目录 1.SV和Verilog数据类型的区别 2.SV内建数据类型 2.1SV为何要引入2值逻辑? 2.2根据2/4值逻辑,SV可将数据类型分为如下 2.3 2/4值数据的相互转化 2.4位宽不一致会 ...

  7. SV学习笔记(17)

    学习目标: SV绿皮书第九章:功能覆盖率 学习内容: 1.覆盖率是衡量设计验证完备性的一个通用词语 2.覆盖率类型:代码覆盖率.断言覆盖率.漏洞率曲线.功能覆盖率 3.代码覆盖率:行覆盖率.路径覆盖率 ...

  8. SV学习笔记—区分always和initial

    首先要清楚哪些语句应该被放置于硬件世界,哪些程序应该被放置于软件世界? 硬件世界:module/endmodule,interface/endinterface 软件世界:program/endpro ...

  9. SV学习笔记—覆盖率类型

    0.前言 覆盖率是用来衡量设计验证完备性,随着测试逐步覆盖各种合理的组合,覆盖率用来衡量测试进行的程度,覆盖率工具会在仿真过程中收集信息,然后进行后续处理并且得到覆盖率报告,通过报告找出覆盖盲区,然后 ...

最新文章

  1. 搭建redis给mysql做缓存
  2. FZU1669 Right-angled Triangle【毕达哥拉斯三元组】
  3. 提取nds游戏的音乐
  4. BAT大厂工程师必会Ansible,你还不来学?
  5. jBPM4.4 window下启动tomcat
  6. (37)System Verilog线程并行执行(fork-join_any)
  7. PAT甲级 1003 Dijkstra的口诀干货
  8. web.xml中配置DispatcherServlet前端控制器和CharacterEncodingFilter字符过滤器后web-app标签显红报错
  9. Tcpdump的详细用法
  10. 将excel文档转为word文档的方法
  11. ios swift学习日记4-字符串和字符
  12. 开源大数据周刊-第90期
  13. VMware Fusion FOR MAC使用教程
  14. 可查看抖音各项数据的软件有哪些?99%的人可能不知道这3款
  15. free video java hd_0326 iframe和video experience
  16. 前沿技术讲座感悟以及关于互联网时代前沿技术的个人理解与思考
  17. strut2框架搭建
  18. 完美解决win10打不开设置,右键个性化显示设置等就出现,该文件没有与之关联的程序来执行该操作。
  19. 2022-2027年中国共享自行车行业发展监测及投资战略研究报告
  20. 7个无版权图库资源网站,全部高质量且免费,不愁找不到素材

热门文章

  1. React Router v6
  2. 浪潮服务器配置raid1和直通
  3. 华为modbus测试软件,modbus协议
  4. mac php7安装redis,mac 下安装php7.1 redis
  5. 使用迅捷画图绘制流程图操作方法介绍
  6. 易语言 html 右键,易语言右键的新建易程序没有了怎么恢复?
  7. java 中文繁简体转换工具 opencc4j 使用介绍 1.8.0
  8. mysql存储过程备份_mysql-数据备份与存储过程
  9. 为什么可积不一定可导_普洱茶古树单株不一定好喝但是为什么那么贵?
  10. keil烧录hex文件