第一章:

  最近百度了一些fir滤波器的资料,都没有自己想要的。容我吐槽一大段文字>

  在旧版的quartus中,比如13.0,有两个fir滤波器的选项,如下所示:

网上很多都是讲不带II的那个,而在新版的quartus已经找不到这个IP了,如下所示:

对于第一版的FIT滤波器,在旧的版本中,经常生成不了fir这个滤波器(quartus 的软件问题让人抓狂。。)

选择生成仿真模型

(卡在这里不动了。。我会告诉你不勾选生成仿真模型就能生成成功了嘛。。呵)

哎。

第二章:

解决方法:

1.我不看仿真了。。(我不)

2.于是我按照普通的方法,生成FIR II,然后按照一般的方法联合仿真。然后就会报错。。如下所示:

3.正确的方法只要使用quartus自带的modelsim,仿真就可以(其实加入相应的库也可以仿真的。。。不想研究了)。如下所示:

第三章:

仿真matlab中的输入数据:(有兴趣的去研究,有两段话,怎么加入数据,以及怎么输出数据,matlab是不是可以导入txt分析呢?。)

`timescale 1 ps/ 1 ps
module FirIPDa_vlg_tst();
// constants
// general purpose registers
// test vector input registers
reg [11:0] Xin;
reg clk;reg reset_n;
// wires
wire [24:0]  Yout;
//wire clk_data;
// assign statements (if any)
FirIPDa i1 (
// port map - connection between master ports and signals/registers   .Xin(Xin),.Yout(Yout),.clk(clk),//.clk_data(clk_data),.reset_n(reset_n)
);parameter clk_period=626; //设置时钟信号周期(频率):1.6MHz
//parameter clk_period_data=clk_period*13;
parameter clk_half_period=clk_period/2;
//parameter clk_half_period_data=clk_half_period*13;
parameter data_num=2000;  //仿真数据长度
parameter time_sim=data_num*clk_period; //仿真时间initial
begin//设置时钟信号初值clk=1;//clk_data=1;//设置复位信号reset_n=0;#10000 reset_n=1;//设置仿真时间#time_sim $finish;//设置输入信号初值Xin=12'd10;
end//产生时钟信号
always                                                 #clk_half_period clk=~clk;
//always
//  #clk_half_period_data clk_data=~clk_data;//从外部TX文件(SinIn.txt)读入数据作为测试激励
integer Pattern;
reg [11:0] stimulus[1:data_num];
initial
begin//文件必须放置在"工程目录\simulation\modelsim"路径下//$readmemb("E4_9_Bin_noise.txt",stimulus);$readmemb("E4_9_Bin_s.txt",stimulus);Pattern=0;repeat(data_num)beginPattern=Pattern+1;Xin=stimulus[Pattern];//#clk_period_data;//数据周期为时钟周期的8倍#clk_period;//数据周期为时钟周期的8倍end
end//将仿真数据dout写入外部TXT文件中(out.txt)
integer file_out;
initial
begin//文件放置在"工程目录\simulation\modelsim"路径下                                                  //file_out = $fopen("E4_9_Noiseout.txt");file_out = $fopen("E4_9_Sout.txt");if(!file_out)begin$display("could not open file!");$finish;end
end
wire rst_write;
wire signed [24:0] dout_s;
assign dout_s = Yout;                   //将dout转换成有符号数据
assign rst_write = clk& (reset_n);//产生写入时钟信号,复位状态时不写入数据
always @(posedge rst_write )$fdisplay(file_out,"%d",dout_s);endmodule

  

转载于:https://www.cnblogs.com/cofin/p/9515098.html

quartus FIR仿真笔记相关推荐

  1. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  2. simulink电机仿真笔记一

    simulink电机仿真笔记一 开场白 电机模型 开场白 最近有点闲,于是尝试用simulink自带的电气库做一个电机控制仿真模型,将现有的工程代码用S-function嵌入到模型中.由于自己对这些库 ...

  3. 【PDN仿真笔记8-使用Sigrity 进行DCR仿真的方法】

    PDN仿真笔记8-使用Sigrity 进行DCR仿真的方法 使用Sigrity进行DCR仿真有两个方法:用PowerDC或者PowerSI进行仿真 1.使用PowerDC进行DCR仿真的方法 打开Po ...

  4. 【PDN仿真笔记9-使用Sigrity PowerDC进行IR Drop仿真的方法】

    PDN仿真笔记9-使用Sigrity PowerDC进行IR Drop仿真的方法 使用PowerDC进行IR Drop的仿真,分析电源平面的电压跌落及电流密度的分布情况,有利于对电源平面压降.电流载流 ...

  5. PDN仿真笔记5-电容走线影响寄生电感的因素分析

    PDN仿真笔记5-电容走线影响寄生电感的因素分析 1. 过孔距离对寄生电感的影响 分析过孔的距离远近对寄生电感的影响. 过孔最近的距离设置为40mil 过孔的最远距离设置为68mil 添加变量 变量设 ...

  6. 机器人运动学仿真笔记

    机器人运动学仿真笔记 安川机器人当前(基座)位姿是工具坐标系在基座下的位姿,欧拉角zyx. SFTON一般用于四自由度x.y.z.Rz的补偿. 内部计算指令:MULMAT(取数据 2 和数据 3 的矩 ...

  7. 【PDN仿真笔记6-使用Sigrity PowerSI进行PDN仿真的方法1】

    PDN仿真笔记6-使用Sigrity PowerSI进行PDN仿真的方法1 1.电容模型的处理 在进行PDN仿真前,需要添加无源器件的模型,其中主要需要关注的是电容模型.关于电容模型的详细分析请见链接 ...

  8. 【PDN仿真笔记7-使用Sigrity PowerSI进行PDN仿真的方法2】

    PDN仿真笔记7-使用Sigrity PowerSI进行PDN仿真的方法2 1. PDN结果分析及优化 PDN仿真结果一般的波形与电容的仿真结果有点类似,低频段时阻抗偏高,随着频率的增加,受到电容的滤 ...

  9. ROS仿真笔记之——gazebo配置velodyne

    之前博客<ROS仿真笔记之--基于gazebo的event camera仿真(dvs gazebo)>介绍了在gazebo里面配置dvs sensor,本博文将velodyne也加上,并且 ...

最新文章

  1. 关于find my iphone的所有问题汇总解决
  2. 十八、“问余浪子今何在,唯有回头铸梦人”(2021.5.28)
  3. 【转】王晟教授:给光纤3室研究生的一封公开信
  4. Hologres揭秘:高性能原生加速MaxCompute核心原理
  5. linux 下zip文件的压缩和解压
  6. 你不知道的数学趣闻(一)
  7. Python笔记-Flask返回字符串、Json、模板数据
  8. 重磅!MobileNetV3 来了!
  9. Vue之x-template(1)
  10. 新书预告<******笔记>快赏
  11. 暂时初步完成了搜索引擎的基本功能
  12. 提高系统可用性的那些架构策略
  13. TextFormField 去掉 下划线
  14. 第三章 概念模型设计(一)
  15. 项目初始化报 404 Not Found - GET https://registry.npmjs.org(转)
  16. 解决:java.lang.IllegalStateExceptio:Underflow in restore - more restores than saves异常,Module闪退
  17. PS从入门到精通第2节——揭开PS的神秘面纱
  18. LeNet实现手写数字识别
  19. 基于android定制的会议盒子
  20. 从loss的硬截断、软化到Focal Loss

热门文章

  1. Unity3D学习(五):实现一个简单的视觉感知
  2. 缓存初解(五)---SpringMVC基于注解的缓存配置--web应用实例
  3. android 中 四舍五入的method */
  4. 全国计算机等级考试题库二级C操作题100套(第71套)
  5. java版本号分段比较_Java实现比较版本号
  6. python发邮件给女朋友代码_python实现邮件发送完整代码(带附件发送方式)
  7. 数据结构最短路径例题_数据结构算法实验8图的最短路径问题附源代码.doc
  8. @Transactional 使用
  9. php标签调用,phpcms栏目标签调用代码大全
  10. 分享10 比较非常实用的 Docker技巧,欢迎收藏!