• 74LS161是模16(四位二进制)同步集成计数器,异步清零
  • 一张功能表时刻准备查询

  • 异步级联方法:将前一计数器的输出作为后一级计数器的时钟信号

    • 两片161所需要的时钟不同称为异步
    • 前一级计数器的进位输出
    • 触发器输出的高位
    • 为何需要加反相器——74161时钟上升沿触发 ,进位输出一个高电平,采用由低变高的边沿输出到时钟不稳定。加了一个反相器相当于下降沿触发,等电平经过一段时间稳定后触发时钟。还有一个原因是用上升沿触发将导致下一级161提前一个时钟周期计数,下降沿触发时等15完全输出后再计数。
  • 通过仿真分析,可以看到假设是美好的。当出现毛刺时,瞬时下降沿同样会造成下一级的161的状态翻转而造成计数错误
  • 解决办法是加一个D触发器过滤掉毛刺,可以看出此时计数规律,第一个计数器计到15时第二个计数器开始输出1。

  • 仿真小技巧:第一个计数器输出用一个总线命名,第二个计数器输出用一个总线命名,再把属性设置为无符号十进制,就方便查看计数到几输出

  • 第二种情况是利用最高位QD输出从1111->0000时下降沿,加反相器输入进时钟。这种未加D触发器,波形看起来比第一种干净

  • 同步级联一般方法:将前一计数器的输出作为后一级计数器的使能信号,
    所有计数器使用相同的时钟信号。

    • T端串行级联:各片T端与相邻低位片的OC相连;
    • PT端双重控制

  • 只有当OC输出为1时下一级161才可以计数。当第一级OC为0时,第二级161输入T为0,保持当前数值直到上一级OC输出1。当第二级161计到15时,OC2输出一个高电平驱动第三片161工作。

  • 第二种方式速度计数较快

quartus仿真31:74161的级联相关推荐

  1. quartus仿真系列0:基于原理图仿真的基本操作

    翻一本数电书时,无意发现利用maxplus2原理图来仿真设计,唤起了在上数电实验课时的一个设想,利用quartus仿真时序电路的波形图,这样更加直观清晰(不用我手画了).我们数电课上只教了利用VHDL ...

  2. 【EDA】EDA技术Quartus仿真步骤(图表仿真)

    Quartus仿真步骤(图表仿真)

  3. quartus仿真5:74194构建模8扭环形计数器

    利用quartus仿真时还有一个好处,思考的同时可以避免睡觉.上数电课时老师拿着激光笔在PPT上的波形图指来指去,一下就睡着了.下午三节数电课,通常可以说睡两节半课. 环型计数器只有四个有效状态,其他 ...

  4. quartus仿真33:74161级联实现模60计数器

    将60分解成6*10,分别用74161实现模6和模10计数器,再级联成模60计数器 利用OC进位端反相得到的信号输入到置位端,模6计数器的实现15-X+1=6,解得置数端需要准备的数为X=10=101 ...

  5. quartus仿真22:优先编码器74148的级联(详细)

    74148的功能表 信号低电平有效 输入E1=1,电路禁止编码,输出CS=1,E0=1 输入E1=0,允许编码,输入无效信号111_1111则输出CS=1,E0=0 编码工作,优先级:7>6&g ...

  6. quartus仿真9:74161基本功能

    对应西电数电慕课从14讲集成计数器到15讲分频器 同步级联与异步级联 同步级联RCO1和P2T2相连,当Q3Q2Q1Q0=1111时RCO1=1则Q4=1 异步级联RCO1'=CLK2,毛刺应该造成了 ...

  7. quartus仿真32:74161构成的模7计数器

    异步清零法,从0计数到6然后利用过渡态7完成清零操作 同步置零法,计数到6时输出一个置位信号,将准备的数字0000输入到计数器中 清零和置数的控制端输入相当于对计数数字的译码的过程 清零法很遗憾的是有 ...

  8. quartus仿真21:JK触发器和D触发器实现110序列探测器

    列出状态转移图,根据语言描述定义四个状态, 啥也没收到 收到1 收到11 收到110 根据产生相同的输出,并转移到相同的状态的等效原则,状态化简为3个状态(进行状态化简可以减少D触发器的个数) 状态编 ...

  9. quartus仿真系列2:74193功能

    四位二进制同步可逆计数器,实现清楚,预置,累加计数和累减计数的功能 模16计数器,构成脉冲分配器和序列发生器等逻辑器件 构成模小于16的计数器 利用计数器清除.预置等功能 构成模大于16的计数器 利用 ...

最新文章

  1. pwn学习总结(二) —— 基础知识(持续更新)
  2. 辽宁活跃ip段_有泰国女排影子!激情辽宁女排,打出快乐排球,输了比赛赢了球迷...
  3. 计算机文化基础课程总结,计算机文化基础课程总结.docx
  4. Linear world POJ - 2674(弹性碰撞+技巧)
  5. 干货!sqlserver数据库所有知识点总结整理,含代码(挺全的)
  6. 保姆级!!前端必会Taro入门级教学!!
  7. std::call_once写单列模式
  8. 开源计算机集群监控Ganglia应用视频
  9. BZOJ 2431 [HAOI2009]逆序对数列 (dp)
  10. Mac 下通过Homebrew安装ADB工具
  11. 怎么使用7zip进行分批压缩_7zip怎么使用 7zip使用方法教程
  12. 建设工程施工合同系列一建工合同的范围(未完待续)
  13. canvas 擦除动画_Canvas 橡皮擦效果
  14. 新马華人的姓名大多用方言拼音,所以显得很混乱
  15. JS短信接口代码示例
  16. 02-表格基本格式以及各个标签的属性、boder/cellpadding/cellspacing的区分、th标签、caption标签
  17. 学生信息管理系统中系统登录问题
  18. IBM 能靠 2nm 芯片翻身吗?
  19. outlook gmail setting
  20. linux安装gbase8a

热门文章

  1. python大作业爬虫_Python爬虫大作业
  2. 根文件系统的构建和移植
  3. 光传输-SDH技术总结
  4. linux下大于2TB硬盘parted 分区
  5. 中专一年级学计算机吗,中专一年级计算机专业语文试卷.doc
  6. 【Qt】条形码制作器(Code39编码)
  7. 几款强大实用的地图素材资源网站,我都帮你整理好了~
  8. 各种视频输出端口(HDMI、DVI、VGA、RGB、分量、S端子)图片说明 .
  9. 如何用vnc远程到服务器,如何利用VNC远程控制Linux
  10. 读书·2017(23本)