• 74148的功能表

    • 信号低电平有效
    • 输入E1=1,电路禁止编码,输出CS=1,E0=1
    • 输入E1=0,允许编码,输入无效信号111_1111则输出CS=1,E0=0
    • 编码工作,优先级:7>6>5>4>3>2>1>0
    • CS=0时编码工作有效,CS=1编码工作无效
    • E0是使能输出端,用于级联,无有效输入时置为0(有效)
    • CS,E0用来识别电路的不同状态

  • 试用两片8线-3线优先编码器接成16线-4线编码器,其中15的优先权最高,0的优先权最低。将16个低电平输入信号从高到低编为4位二进制代码1111~0000

    • 级联问题,输入与输出信号优先级顺序最重要
    • 第1片148优先级高于第2片,(E1)1第1片148的E1,下面表示方法相同接有效信号0,第2片148的E1接第1片148的E0,即(E0)1=(E1)2
      • 第1片对15~8进行编码时,输出(E0)1=1=(E1)2,第2片148禁止编码
      • 第2片对7~0进行编码时,第一片15-8输入均为无效信号,第1片148输出(E0)1=0=(E1)2,第2片允许编码
      • 通过第1片148的E0连接第二片148的E1保证两片148只有1片在正常编码
    • 编码输出,第1片CS端作为最高输出级,其余3端用与非门进行连接
  • 当第5路信号有效时,即输入为1111_1111_1110_1111,第1片148的CS端无效输出1,经过与非后输出Z3=0。第一片148输出CBA=111,第2片148经过编码输出CBA=010(信号低有效),经过与非操作后输出Z2Z1Z0=101,合起来完成对5的编码为0101。
  • 用quartus进行时序仿真,用鼠标依次选择输入信号的一片区域设置为低电平,得到编码输出结果1111~0000与题目吻合

quartus仿真22:优先编码器74148的级联(详细)相关推荐

  1. 数字电子技术《优先编码器74LS148》仿真

    编码: 将特定含义的输入信号转换为二进制的过程. 输入信号:可以代表文字.数字.符号等. 编码器: 实现编码操作的数字电路.若输入信号为n,则输出信号可以有个. 分类:根据编码方式的不同分为普通编码器 ...

  2. Arduino与Proteus仿真实例-74HC148优先编码器驱动仿真

    74HC148优先编码器驱动仿真 74HC148先级编码器接受 8 个输入请求行 0-7 并输出 3 个行 A0-A2. 优先编码确保仅对最高阶数据线进行编码. 提供级联电路(启用输入 EI 和启用输 ...

  3. 8051单片机Proteus仿真与开发实例-74HC148优先编码器驱动仿真

    74HC148优先编码器驱动仿真 1.74HC148介绍 74HC148先级编码器接受 8 个输入请求行 0-7 并输出 3 个行 A0-A2. 优先编码确保仅对最高阶数据线进行编码. 提供级联电路( ...

  4. SN74LS148优先编码器的级联使用

    优先编码器允许同时输入两个或两个以上的编码信号,在编码器内部已经将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码. SN74LS148是8输入3输出的优先编 ...

  5. 用一片CD4532构成8-3线编码器与用两片CD4532构成16线-4线优先编码器

    VerilogHDL程序设计与仿真作业1: --用一片CD4532构成8-3线编码器与用两片CD4532构成16线-4线优先编码器 文章目录 VerilogHDL程序设计与仿真作业1: --用一片CD ...

  6. CD4532 8-3线优先编码器以及应用

    CD4532 8-3线优先编码器以及应用 目标 一片CD4532构成8-3线编码器 两片CD4532串行构成16-4线译码器 一.一片CD45232 设计思路 采用逻辑电路的行为级建模,参考CD453 ...

  7. 编码器 | 如何用两片8线-3线优先编码器扩展成16线-4线——数电第四章学习

    编码器 普通编码器 优先编码器 扩展 为了区分不同的事务,将其中的每个事物用一个二值代码表示,编码器的功能就是将输入的每一个高低电平编成一个对应的二进制代码. 普通编码器 意思即是可以通过看Y1Y2Y ...

  8. FPGA设计编程(二) 8-3线优先编码器与3-8线译码器

    目录 [实验要求] [实验软件工具] [实验一]设计一个8-3线优先编码器(74LS148) 1. 实验内容与原理说明 2. 实验模块程序代码和激励代码 (1)设计模块代码 (2)激励模块代码 3. ...

  9. FPGA VHDL文本编辑器设计8-3优先编码器并构成16-4优先编码器

    题目要求: 在文本编辑器中使用VHDL语言设计一个优先8-3编码器.在另一个新实体中将其定义成一个元件,通过元件例化的方式设计一个16-4优先编码器.文件命名为***164.vhd,器件设定为EP3C ...

最新文章

  1. Confluence 6 指派和撤销空间权限
  2. 一个肯德基拖着6个“拖油瓶”的百胜中国,如何赢下中国市场?
  3. poj 1988(并查集)
  4. Log4Net配置使用简记
  5. HBase之BloomFilter
  6. [个人网站搭建]·Django增加评论功能(Python3)
  7. 快报:Python 被爆重大“黑料”!程序员:劲爆!
  8. layui 日期格式不正确(date、datetime)区别
  9. 当SQL Server爱上Linux:AVAILABILITY_MODE 和 DataGuard 的实践差距
  10. acm竞赛java很少_ACM比赛中JAVA的应用
  11. 谷歌怎么找ajax请求,谷歌浏览器 - GET ajax请求失败
  12. 版图与射频IC实习心得
  13. 在Openjdk 8 中如何合理使用容器 memory 资源
  14. 2022年10月最新CPU天梯图 CPU天梯图高清完整版
  15. 如何在word中设置带悬挂缩进对齐的多行???
  16. 浅谈ipad阅读类应用设计
  17. srs直播 java开发,使用 SRS/OBS/VLC 快速搭建直播服务系统
  18. Springboot 工具类@Value取不到值
  19. Schedule用法实例
  20. 函数栈帧深度剖析(一篇带你牢牢掌握函数栈帧)

热门文章

  1. 1.JAVA反射萧静默
  2. 查看每个用户linux内存,编写shell脚本查看linux当前各用户的cpu和memory消耗比例
  3. 使用原生js实现邮箱模糊查询的效果
  4. oracle 创建备份目录,Oracle rman创建和自动化备份
  5. IIS 301重定向跳转
  6. jquery 选择器 逗号
  7. bootstrapValidator验证失败问题
  8. oracle mos账号金额,mos账号注正册步骤+证书查询+注意事项.pptx
  9. 阿里云天池 学习赛汇总(教学赛,零基础入门,长期赛)
  10. 【Luogu1996】约瑟夫问题(模拟,解法汇总)