• 异步清零法,从0计数到6然后利用过渡态7完成清零操作
  • 同步置零法,计数到6时输出一个置位信号,将准备的数字0000输入到计数器中
  • 清零和置数的控制端输入相当于对计数数字的译码的过程
  • 清零法很遗憾的是有毛刺导致只能计数4个状态,置零法的输出很干净从000到110

  • 利用OC端进行置数,计数器数到1111时会输出一个高脉冲,加上反相器可以用作置位信号的输入。故要往上数7个状态,同理可以利用QD端输出的高电平从0111到1000,作用与OC端相同,同样往上数7个数。
  • 可以看出波形都挺规整的,输出状态也对应上了

quartus仿真32:74161构成的模7计数器相关推荐

  1. quartus仿真33:74161级联实现模60计数器

    将60分解成6*10,分别用74161实现模6和模10计数器,再级联成模60计数器 利用OC进位端反相得到的信号输入到置位端,模6计数器的实现15-X+1=6,解得置数端需要准备的数为X=10=101 ...

  2. multisim仿真D触发器设计的模六计数器并在数码管显示0-5

    D触发器设计的模6计数器 设计思路从000计数到101,并用卡诺图进行化简,没有输出为摩尔型 用quartus仿真看波形 BCD译码 选择74LS48芯片,将D触发器输出Q2Q1Q0对应接到输入管脚C ...

  3. 级联模60计数器(Verilog HDL语言描述)(仿真与综合)

    目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...

  4. 模6计数器以及模10计数器(Verilog HDL语言设计)(Modelsim仿真与ISE综合)

    目录 前言 模6计数器 Verilog HDL语言描述 测试文件 仿真电路图 RTL Schematic Technology Schematic 模10计数器 Verilog HDL语言描述 测试文 ...

  5. quartus仿真9:74161基本功能

    对应西电数电慕课从14讲集成计数器到15讲分频器 同步级联与异步级联 同步级联RCO1和P2T2相连,当Q3Q2Q1Q0=1111时RCO1=1则Q4=1 异步级联RCO1'=CLK2,毛刺应该造成了 ...

  6. quartus仿真25:JK触发器构成的模7计数器(分析)

    分析是给出电路图分析逻辑功能 根据驱动方程写出状态转移方程绘制状态转移表 犯得两个错误 Q2Q1‾≠Q2‾⋅Q1‾\overline{Q_2Q_1}\neq\overline{Q_2} \cdot \ ...

  7. EDA实验(Quartus Ⅱ+fpga) (二)---时序电路的设计-模可变计数器设计

    前言: 本文主要介绍了EDA原理与应用这门课程的相关实验及代码.使用的软件是Quartus Ⅱ,该实验使用fpga芯片为cycloneⅤ 5CSEMA5F31C6. (一)实验目的 (1)进一步熟悉E ...

  8. quartus仿真系列2:74193功能

    四位二进制同步可逆计数器,实现清楚,预置,累加计数和累减计数的功能 模16计数器,构成脉冲分配器和序列发生器等逻辑器件 构成模小于16的计数器 利用计数器清除.预置等功能 构成模大于16的计数器 利用 ...

  9. quartus仿真系列1:74163的计数功能

    同步预置法 根据模11的原理设计电路图,初值为0000,最后一个数字1010,则将QA和QC端连接到与非门到置位端(电子科大的数电慕课) 只需要对CLK进行参数设置,这点在仿真上还算简单.我设置时钟参 ...

最新文章

  1. 在SQL Server中保存和输出任意类型的文件
  2. 使用OkHttp3之Websocket实现长连接
  3. LeetCode Populating Next Right Pointers in Each Node II(dfs)
  4. 洛谷1197星球大战
  5. php mysql记录用户行为_PHP实现用session来实现记录用户登陆信息
  6. Linux shell sed awk
  7. 同样是消息队列,Kafka凭什么速度那么快?
  8. 解决Vista文件操作缓慢问题:五大方案
  9. python安装与开发环境搭建_Python安装和开发环境搭建
  10. Java---读取.properties配置文件空指针异常
  11. intellij idea 程序包不可见问题
  12. Spring源码解析
  13. HUAWEI HiCar让华为手机用户中的宝马车主Hi起来!
  14. Python贴吧爬虫
  15. 【转】SLAM 论文阅读和分类整理
  16. 用Derby数据库读取加密的DAT数据文件(一)
  17. 如何开发一个企业级的 Go 应用?
  18. BOSS和拉勾竞品分析
  19. 聚焦行业,2022巨杉客户案例及产品荣获多项殊荣
  20. Python爬虫之Scrapy框架爬虫实战

热门文章

  1. TNT:Transformer in transformer论文精读
  2. 万能的越狱视频下载器:从iOS应用中提取视频
  3. 夏日葵电商:微信分销系统开发运营误区及技巧
  4. C++输入输入字符串的几种方法
  5. ENScanGo主域名批量提取脚本
  6. 2005年硕士招生目录
  7. 什么是集群?什么是分布式?集群与分布式的区别,集群和分布式的关系。
  8. 李宏毅课程-线性代数-课节2-3: 线性代数 vs. 其它必修课课程速览
  9. 【全源码及文档】基于Java的模拟写字板的设计与实现
  10. 手机解锁方法:8个顶级的 Android 手机解锁软件