Camera Link是一种串行通信协议标准,基于美国国家半导体公司的Channel-link,设计用于摄像机接口应用,目的是规范科学和工业视频而设计的产品包括相机、电缆和框架。Camera Link使用1到3个通道链路收发芯片,根据通道的多少分为Base、Mediaum和Full模式。每个通道有4个7位串行器。Camera Link 在Base模式下使用28bit来表示数据和信号,其中24位图像数据,3位视频同步信号(“数据有效”、“帧有效”和“行有效”),1位备用位。Camera Link标准要求这28位通过4个串行差分对传输。并行数据时钟与数据一起传输,数据被序列化成7:1,数据流和时钟在五个LVDS对上传输,接收器解析这五对差分信号。为了传输或接收串行视频,PLL或SERDES块必须生成一个7x时钟。为了对数据进行反序列化,可以使用移位寄存器和计数器。移位寄存器捕获每个序列化的位,然后在数据计数器达到其最终值时,将数据转换到并行时钟域。

Camera Link在实际设计中会采用解串芯片恢复出并行数据,这无疑会增加硬件成本以及板子面积。另外一种方式是使用FPGA内部逻辑解析串行数据,可以避免这些缺点,使设计出的板子更加的简洁,而且解串的逻辑也不会消耗太多资源。下图是Camera Link的时序,串行时钟占空比3:4,FPGA在检测到时钟呈现1100011的波形时,同时采集图像数据,共28bit。根据每个bit代表含义及数据位置解析出一个时钟的数据,从而恢复出完整图像。

Camera Link的在Zynq UltraScale+ MPSoC的解串过程设计到几个FPGA原语,IDELAY3、ISERDESE3和IDELAYCTRL。时钟通路有两个功能,一个功能是通过PLL或MMCM备频时钟得到7x的串行时钟、解析数据的7x/8并行时钟和7x/4的ISERDES时钟,另外一个功能是通过IDELAYE3和ISERDESE3得到经过延时的数据,这个数据可以用于检测1100011的时钟序列,将其他数据对齐,并通过Gearbox差速器从8bit的数据转换为7bit的数据。时钟通路IDELAYE3原语用到的模式为TIME模式,需要IDELAYCTRL逻辑输入Ready信号。再恢复出7bit数据之后按照每个bit的对应关系得到场有效、行有效和24bit有效数据。

Camera Link输入解析逻辑使用Vivado工具打包成IP,方便发布以及不同的工程调用。场有效Vactive在图像的像素传输周期都为高,行有效Hactive代表每一行的有效数据,根据这两个信号可以将其送入其他模块,加上输出时钟Pclk,构成了解析完Camera Link之后的后端输出逻辑。

下一节讲IP核的调用,配合VDMA送入DDR,从内存导出数据,利用图像查看软件可以看到采集到的图像。

Zynq UltraScale+ MPSoC智能视频平台1:Camera Link接收IP相关推荐

  1. XILINX Zynq UltraScale+ MPSoC 在 4K 视频图像处理和会议系统的应用

    赛灵思 Zynq UltraScale+™ MPSoC EV 器件的 4K 视频处理功能.EV 器件具有继承的多标准视频编解码器,能够以 60帧/秒 的速度同步编码和解码. Arm 四核 Cortex ...

  2. 基于xilinx Zynq UltraScale MPSoC平台的核心板及开发板介绍-米尔科技

    近日,米尔科技推出国内首款基于xilinx Zynq UltraScale+MPSoC 平台的核心板及开发板.其优势主要有:采用16纳米制程,相比Znyq7000系列每瓦性能提升5倍,且单芯片融合4核 ...

  3. 【ZYNQ Ultrascale+ MPSOC FPGA教程】第一章 MPSoC芯片介绍

    原创声明: 本原创教程由芯驿电子科技(上海)有限公司(ALINX)创作,版权归本公司所有,如需转载,需授权并注明出处. 适用于板卡型号: AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E ...

  4. Zynq UltraScale + MPSoC示例设计 - 在64位Linux上执行32位应用程序

    目录 官方说明连接:https://china.xilinx.com/support/answers/66636.html 描述 解决方案 官方说明连接:https://china.xilinx.co ...

  5. zynq+linux固化程序,如何在 Zynq UltraScale+ MPSoC 上实现 Linux UIO 设计

    原标题:如何在 Zynq UltraScale+ MPSoC 上实现 Linux UIO 设计 简介 作者: Alex He (何晔), 赛灵思高级嵌入式应用工程师 这里的 UIO 即 Userspa ...

  6. 米尔电子zynq ultrascale+ mpsoc底板外设资源清单分享

    米尔电子推出的国内首款zynq ultrascale+ mpsoc平台核心板(及开发板):MYC-CZU3EG吸引了人工智能.工业控制.嵌入式视觉.ADAS.算法加速.云计算.有线/无线通信等应用行业 ...

  7. Qt成功运行Zynq UltraScale+MPSoC平台上

    Qt交叉编译后aarch64-linux-gnu-成功运行在ZynqUltraScale+MPSoC上-Linux文档类资源-CSDN下载Qt交叉编译后aarch64-linux-gnu-成功运行在Z ...

  8. Xilinx Zynq UltraScale+ MPSoC 介绍

    文章目录 简介 软件架构 petalinux工具 开发环境搭建 简介 MYC-CZU3EG 是深圳市米尔科技有限公司推出的一款以 Xilinx XCZU3EG 作为核心的嵌入式核心板. 采用了 Xil ...

  9. 5、赛灵思-Zynq UltraScale+ MPSoC学习笔记:Petalinux 的设计流程及定制Linux系统

    5.赛灵思-Zynq UltraScale+ MPSoC学习笔记:Petalinux 的设计流程及定制Linux系统 声明:本文是学习赛灵思 Zynq UltraScale+ MPSoC 5EV过程中 ...

最新文章

  1. 飞向天国:儿童智力单机游戏6岁-8岁
  2. 蓝桥杯 方格填数(全排列+图形补齐)
  3. 怎么装python编译器_python编译器安装
  4. Sharepoint-拾贝
  5. PHP连接MongoDB
  6. Android Studio使用IconFont阿里矢量图标库
  7. Java开发工具(二):Intellij Idea IU-2019.2.3版安装配置简明教程
  8. allegro 尺寸标注操作未到板边的处理
  9. mysql 过滤微信昵称表情符号_js 过滤微信昵称的表情符号
  10. java 中国标准时间_JAVA 转Wed Oct 05 2016 00:00:00 GMT+0800 (中国标准时间)
  11. 查看360极速浏览器已保存的星号密码明文
  12. 远程桌面连接时无法访问远程计算机的计算机属性提示系统调用失败,远程过程调用失败【应对技巧】...
  13. 二相混合式步进电机开环细分控制simulink仿真模型
  14. numpy.insert用法以及内插插0的方法
  15. 最全数学各个分支简介
  16. MySQL--增删改查
  17. 学习web第四周第五天
  18. 2019 阿里巴巴云栖大会 - Alibaba Apsara
  19. 从源码深入接触ThreadX(1) --- 了解ThreadX
  20. 移动设备如何上传文件到服务器上,局域网内移动设备快传文件

热门文章

  1. 译 MIT 为何停掉 SICP?
  2. 2020.10.29--AI--3D字体海报设计平面设计、扭曲变换花朵制作、混合工具毛绒爱心制作
  3. Win10笔记本外接显示器调整分辨率教程
  4. AAPT: error: resource drawable...not found.
  5. ORACLE ORA-01720错误处理
  6. 数据库还原-bak文件
  7. D3js-绘制SVG
  8. L2-001 紧急救援
  9. 大牛讲堂 | 山世光博士:自动驾驶系统中的目标检测技术
  10. OSChina 周四乱弹 —— 80后的巅峰,竟然是他!