米尔电子推出的国内首款zynq ultrascale+ mpsoc平台核心板(及开发板):MYC-CZU3EG吸引了人工智能、工业控制、嵌入式视觉、ADAS、算法加速、云计算、有线/无线通信等应用行业的工程师关注。大家对zynq ultrascale mpsoc平台产品配置很感兴趣。本篇分享米尔电子zynq ultrascale+ mpsoc底板外设资源。

米尔电子zynq ultrascale+ mpsoc底板外设资源:

PS单元

项目 参数
USB 1路TYPEC USB3.0(含USB2.0)接口
SATA 1路SATA3 7PIN接口,带D4电源接口
DP 1路DisplayPort接口,1lane
PCIE 1路PCIE2.0 1x接口
Ethernet 1路10/100/1000Mb/s以太网接口(核心板PS_MIO通过DP83867引出)
串口 1 路 Micro USB转串口用于调试
TF 1 路TF卡接口
JTAG 1个14PIN双排接口,间距2.54MM
按键 3个按键(1个复位键,1个PL复位键,1个用户键)
RTC 内部RTC模块

PL单元

项目 参数
FMC 1路xilinx标准LP FMC接口
HDMI 与HDMI复用显示信号,RGB 24bit(两种接口,一种FPC 50Pin,一种25x2Pin 1.27mm)
LCD 支持电阻触摸屏和电容屏(与LCD信号在相同接口内)
TP 1路HDMI接口,RGB24 bit,不支持音频
PMoD 2路
CAN 1路CAN接口(带信号和电源隔离)
Arduino 1路标准28PIN Arduion接口
SFP 4路SFP接口(仅XCZU4EV)
LED 1个LED(绿色):指示是否DisplayPort电源OK / 1个LED(蓝色):电源指示灯 / 2个LED(×××):用户自定义灯

以上是zynq ultrascale+ mpsoc底板外设资源,如需了解更多,可看产品详情页。

http://www.myir-tech.com/product/myc-czu3eg.htm zynq ultrascale+ mpsoc

转载于:https://blog.51cto.com/12679951/2403095

米尔电子zynq ultrascale+ mpsoc底板外设资源清单分享相关推荐

  1. 米尔电子Zynq UltraScale MPSoC核心板资料介绍

    米尔Zynq UltraScale MPSoC核心板(MYC-CZU3EG)是采用Xilinx新一代Zynq处理器.该核心板是业界最小尺寸Zynq UltraScale 核心板,采用16纳米制程,相比 ...

  2. 基于xilinx Zynq UltraScale MPSoC平台的核心板及开发板介绍-米尔科技

    近日,米尔科技推出国内首款基于xilinx Zynq UltraScale+MPSoC 平台的核心板及开发板.其优势主要有:采用16纳米制程,相比Znyq7000系列每瓦性能提升5倍,且单芯片融合4核 ...

  3. Xilinx Zynq UltraScale+ MPSoC 介绍

    文章目录 简介 软件架构 petalinux工具 开发环境搭建 简介 MYC-CZU3EG 是深圳市米尔科技有限公司推出的一款以 Xilinx XCZU3EG 作为核心的嵌入式核心板. 采用了 Xil ...

  4. 米尔电子 MYC-Y6ULX-V2核心板在机械智能控制器的应用

    近年来随着信息化的发展,工业智能化.工程机械智能化水平的要求也越来越高,这对各类工程机械主机专用控制器的需求也随之提高.而目前市场上主流的工程机械控制器硬件配置普遍较低,计算能力不能满足智能化控制的需 ...

  5. 基于NXP i.MX8M处理器的MYC-JX8MX核心板-米尔电子

    高性能嵌入式核心板新标杆!米尔推出基于NXP i.MX8M处理器的MYC-JX8MX核心板 随着嵌入式及物联网技术的飞速发展,高性能计算的嵌入式板卡已经成为智能产品的基础硬件平台.为响应行业应用和满足 ...

  6. 【ZYNQ Ultrascale+ MPSOC FPGA教程】第一章 MPSoC芯片介绍

    原创声明: 本原创教程由芯驿电子科技(上海)有限公司(ALINX)创作,版权归本公司所有,如需转载,需授权并注明出处. 适用于板卡型号: AXU2CGA/AXU2CGB/AXU3EG/AXU4EV-E ...

  7. XILINX Zynq UltraScale+ MPSoC 在 4K 视频图像处理和会议系统的应用

    赛灵思 Zynq UltraScale+™ MPSoC EV 器件的 4K 视频处理功能.EV 器件具有继承的多标准视频编解码器,能够以 60帧/秒 的速度同步编码和解码. Arm 四核 Cortex ...

  8. zynq ultrascale mpsoc 自定义配置文件解析

    文章目录 一.配置文件代码 二.相关命令官方文档参考链接 最近在用 openocd 调试 zynq ultrascale mpsoc PS端的 A53.现在调通了,对配置文件进行一个解析记录,方便自己 ...

  9. 嵌入式开发之zynqMp ---Zynq UltraScale+ MPSoC 图像编码板zcu102

    嵌入式开发之zynqMp -Zynq UltraScale+ MPSoC 图像编码板zcu102 1.1 xilinx zynqMp 架构 1.1.1 16nm 级别工艺 Zynq UltraScal ...

最新文章

  1. java中的泛型的使用与理解
  2. java制作带有logo的二维码,解决zxing中文乱码
  3. java icache_Java ICacheWordSubscriber類代碼示例
  4. C#快速导入海量XML数据至SQL Server数据库
  5. Linux之rsync
  6. C语言小知识---printf()函数转换符的意义
  7. 车牌识别及验证码识别的一般思路
  8. web在session设置的账户用户名显示为空_海蜘蛛路由Web认证怎么设置 海蜘蛛路由Web认证方法【介绍】...
  9. 听刘万祥老师讲“竖向折线图”、“点图”画法
  10. 网络信息安全期末复习要点
  11. 【转载】Linux摄像头驱动1——vivid
  12. 张钹院士:清华大学AI研究院要孵化人工智能界的BAT
  13. ArcGIS(ESRI)的发展历史和版本历史(简介)
  14. Java 跨平台获取 MAC 地址的两种方法
  15. 微程序CPU+8253+8255实现流水灯
  16. 字符串“false“转 Boolean false
  17. 基于新代数控系统PLC基础语法汇总
  18. 用Wcat隐藏你的程序窗口
  19. 【Java基础】之AWT,一篇文章搞懂awt(包含绘图)
  20. excel 宏 把一个工作表按照某几个列拆分为多个工作表

热门文章

  1. c ++结构体构造函数_C ++中的构造函数
  2. zip unzip命令行_Linux / Unix中的Zip和Unzip命令
  3. css 悬停动画_CSS3缩放图像动画效果悬停
  4. python关闭图像_Python关闭
  5. 【工具使用系列】关于 MATLAB 神经网络故障诊断,你需要知道的事
  6. JAVA操作串口有感
  7. 斯坦福大学面向Tensorflow深度学习研究课程(2018)
  8. Leetcode 235.二叉搜索树的最近公共祖先
  9. VScode 把tab置换为空格
  10. Qt窗口操作函数(最大化,全屏,隐藏最大化,最小化)