目录

  • 一丶VGA协议
  • 二丶彩条显示
    • 1.任务分析
    • 2.代码设计
  • 三丶显示名字
    • 1.任务分析
    • 2.代码设计
  • 四丶图片显示
    • 1.任务分析
    • 2.代码设计

一丶VGA协议

VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传
输的标准。早期的CRT显示器由于设计制造上的原因,只能接收模拟信号输入,因此计算机内
部的显卡负责进行数模转换,而VGA接口就是显卡上输出模拟信号的接口。如今液晶显示器虽
然可以直接接收数字信号,但是为了兼容显卡上的VGA接口,也大都支持VGA标准。

VGA端口的结构
VGA端口是视频输出端口,端口一共包含15个管脚,如下图:

VGA原理:
在通常使用的连接方法里面,15个管脚里面的5个是最重要的,他们
包括3个基本红,绿,蓝三条基本色彩线和水平与垂直两条控制线

VGA接口标准
VGA工业标准所要求的频率:
• 时钟频率: 25.175MHz(像素输出的频率);
• 行频: 31469Hz;
• 场频: 59.94Hz。
显示器技术规格提供的行频一般在30kHz~45kHz(保守数据),场频一般在
50Hz~75Hz(保守数据),针对以上保守数据,以30kHz的行频进行扫描时
所需时钟频率为:30kHz×800(行周期)=24MHz,则场频为:30kHz÷525(
场周期)=57.14Hz


在VGA视频传输标准中,视频图像被分解为红、绿、蓝三原色信号,经过数模转换之后,在行同步(HSYNC)和场同步(VSYNC)信号的同步下分别在三个独立通道传输。VGA在传输过程中的同步时序分为行时序和场时序

行同步时序:

场同步时序:

从上面两幅图中我们可以看到VGA传输过程中的行同步时序和场同步时序非常类似,一行或一场(又称一帧)数据都分为四个部分:低电平同步脉冲、显示后沿、有效数据段以及显示前沿。

行同步信号HSYNC在一个行扫描周期中完成一行图像的显示,其中在a段维持一段时间的低电平用于数据同步,其余时间拉高;在有效数据期间(c段),红绿蓝三原色数据通道上输出一行图像信号其余时间数据无效。

与之类似,场同步信号在在一个场扫描周期中完成一帧图像的显示,不同的是行扫描周期的基本单位是像素点时钟,即完成一个像素点显示所需要的时间;而场扫描周期的基本单位是完成一行图像显示所需要的时间。

早期的VGA特指分辨率为640X480的显示模式,后来根据分辨率的不同,VGA又分为VGA(640x480)、SVGA(800x600)、XGA(1024x768)、SXGA(1280x1024)等。不同分辨率的VGA显示时序是类似的,仅存在参数上的差异,如图 18.1.5所示。

需要注意的是,即便分辨率相同,刷新速率(每秒钟图像更新次数)不一样时,对应的VGA像素时钟及时序参数也存在差异。例如,显示模式“640480@75”刷新速率为75hz,与相同分辨率下刷新速率为60hz的“640480@60”模式相比,像素时钟更快,其他时序参数也不尽相同。

二丶彩条显示

1.任务分析

本节实验任务是使用FPGA开发板上的VGA接口在显示器上显示彩条,要求分辨率为
640*480,刷新速率为60hz。

此部分实现彩条显示只需要给像素横坐标设置范围,在规定范围显示对应的颜色即可

2.代码设计

module VGA_colorbar_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色input OSC_50;     //外部时钟信号CLK2_50output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;output [7:0] VGA_R,VGA_B,VGA_G;parameter H_FRONT = 16;     //行同步前沿信号周期长parameter H_SYNC = 96;      //行同步信号周期长parameter H_BACK = 48;      //行同步后沿信号周期长parameter H_ACT = 640;      //行显示周期长parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时parameter V_FRONT = 11;     //场同步前沿信号周期长parameter V_SYNC = 2;       //场同步信号周期长parameter V_BACK = 31;      //场同步后沿信号周期长parameter V_ACT = 480;      //场显示周期长parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时reg [10:0] H_Cont;        //行周期计数器reg [10:0] V_Cont;        //场周期计数器wire [7:0] VGA_R;         //VGA红色控制线wire [7:0] VGA_G;         //VGA绿色控制线wire [7:0] VGA_B;         //VGA蓝色控制线reg VGA_HS;reg VGA_VS;reg [10:0] X;             //当前行第几个像素点reg [10:0] Y;             //当前场第几行reg CLK_25;always@(posedge OSC_50)begin CLK_25=~CLK_25;         //时钟end assign VGA_SYNC = 1'b0;   //同步信号低电平assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反assign CLK_to_DAC = CLK_25;always@(posedge CLK_to_DAC)beginif(H_Cont<H_TOTAL)           //如果行计数器小于行总时长H_Cont<=H_Cont+1'b1;      //行计数器+1else H_Cont<=0;              //否则行计数器清零if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1VGA_HS<=1'b0;             //行同步信号置0if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1VGA_HS<=1'b1;             //行同步信号置1if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)else X<=0;                   //否则X为0
endalways@(posedge VGA_HS)beginif(V_Cont<V_TOTAL)           //如果场计数器小于行总时长V_Cont<=V_Cont+1'b1;      //场计数器+1else V_Cont<=0;              //否则场计数器清零if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1VGA_VS<=1'b0;             //场同步信号置0if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1VGA_VS<=1'b1;             //场同步信号置1if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  else Y<=0;                   //否则Y为0
endreg valid_yr;always@(posedge CLK_to_DAC)beginif(V_Cont == 10'd32)         //场计数器=32时valid_yr<=1'b1;           //行输入激活else if(V_Cont==10'd512)     //场计数器=512时valid_yr<=1'b0;           //行输入冻结endwire valid_y=valid_yr;       //连线   reg valid_r;     always@(posedge CLK_to_DAC)beginif((H_Cont == 10'd32)&&valid_y)     //行计数器=32时valid_r<=1'b1;                   //像素输入激活else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 valid_r<=1'b0;                   //像素输入冻结endwire valid = valid_r;               //连线assign x_dis=X;       //连线Xassign y_dis=Y;       //连线Y// reg[7:0] char_bit;// always@(posedge CLK_to_DAC)//     if(X==10'd144)char_bit<=9'd240;   //当显示到144像素时准备开始输出图像数据//     else if(X>10'd144&&X<10'd384)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)//         char_bit<=char_bit-1'b1;       //倒着输出图像信息reg[29:0] vga_rgb;                //定义颜色缓存always@(posedge CLK_to_DAC) beginif(X>=0&&X<200)begin    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素vga_rgb<=30'hffffffffff;   //白色endelse if(X>=200&&X<400)beginvga_rgb<=30'hf00ff65f1f;   endelse if(X>=400&&X<600)beginvga_rgb<=30'h9563486251; endelse beginvga_rgb<=30'h5864928654; endendassign VGA_R=vga_rgb[23:16];assign VGA_G=vga_rgb[15:8];assign VGA_B=vga_rgb[7:0];
endmodule

三丶显示名字

1.任务分析

简单思考我们可以知道只需要将屏幕分成两种颜色,一种作为底色,一种作为名字的颜色来区分,即可显示名字。

字符(包括汉字、字母和符号等)的本质都是点阵,在VGA屏幕上体现为字符显示区域内
像素点的集合。字符的大小决定了字符显示区域内像素点的数目,而字符的样式(字体、颜色
等)则决定了各像素点的颜色值。因此,在显示字符之前,我们需要先指定字符的大小、样式,
然后获取该字符的点阵,这个过程我们称之为“提取字模”,或简称“取模”。

我们一般使用0和1的组合来描述字符的点阵排列:点阵中每个像素点用一位(1 bit)数据来表示,其中用于表征字符的像素点用数字1来表示,其他的像素点作为背景用数字0来表示,如图 20.4.2所示。采用这种方式描述的字符是不含有颜色特征的,只能区分点阵中的字符和背景。

字模的提取可通过字符取模软件来实现,在这里我们使用取模软件“PCtoLCD2002”来获
取我们名字的字模

我们首先将其保存为BMP图片,然后用画图工具打开

接下来我们将取模软件PCtoLCD2002切换至图形模式,在菜单栏中点击“模式”,然后选
择“图形模式”。
打开我们刚刚保存的图片

在生成字模之前,我们需要先设置字模的格式。在菜单栏中点击“选项”,并在弹出的配
置界面中按照下图进行配置,配置完成后点击确定。

配置字模选项完成后,点击“生成字模”,即可得到汉字“正点原子”所对应的点阵数据,
如下图所示:

最后点击保存字模,可将生成的点阵数据保存在txt格式的文本文档中。数据以十六进制显示,每行有16个Byte,对应每行共128个像素点;共有16行,对应每个汉字的高度为16

2.代码设计

module VGA_test(
OSC_50,     //原CLK2_50时钟信号
VGA_CLK,    //VGA自时钟
VGA_HS,     //行同步信号
VGA_VS,     //场同步信号
VGA_BLANK,  //复合空白信号控制信号  当BLANK为低电平时模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略
VGA_SYNC,   //符合同步控制信号      行时序和场时序都要产生同步脉冲
VGA_R,      //VGA绿色
VGA_B,      //VGA蓝色
VGA_G);     //VGA绿色input OSC_50;     //外部时钟信号CLK2_50output VGA_CLK,VGA_HS,VGA_VS,VGA_BLANK,VGA_SYNC;output [7:0] VGA_R,VGA_B,VGA_G;parameter H_FRONT = 16;     //行同步前沿信号周期长parameter H_SYNC = 96;      //行同步信号周期长parameter H_BACK = 48;      //行同步后沿信号周期长parameter H_ACT = 640;      //行显示周期长parameter H_BLANK = H_FRONT+H_SYNC+H_BACK;        //行空白信号总周期长parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT;  //行总周期长耗时parameter V_FRONT = 11;     //场同步前沿信号周期长parameter V_SYNC = 2;       //场同步信号周期长parameter V_BACK = 31;      //场同步后沿信号周期长parameter V_ACT = 480;      //场显示周期长parameter V_BLANK = V_FRONT+V_SYNC+V_BACK;        //场空白信号总周期长parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT;  //场总周期长耗时reg [10:0] H_Cont;        //行周期计数器reg [10:0] V_Cont;        //场周期计数器wire [7:0] VGA_R;         //VGA红色控制线wire [7:0] VGA_G;         //VGA绿色控制线wire [7:0] VGA_B;         //VGA蓝色控制线reg VGA_HS;reg VGA_VS;reg [10:0] X;             //当前行第几个像素点reg [10:0] Y;             //当前场第几行reg CLK_25;always@(posedge OSC_50)begin CLK_25=~CLK_25;         //时钟end assign VGA_SYNC = 1'b0;   //同步信号低电平assign VGA_BLANK = ~((H_Cont<H_BLANK)||(V_Cont<V_BLANK));  //当行计数器小于行空白总长或场计数器小于场空白总长时,空白信号低电平assign VGA_CLK = ~CLK_to_DAC;  //VGA时钟等于CLK_25取反assign CLK_to_DAC = CLK_25;always@(posedge CLK_to_DAC)beginif(H_Cont<H_TOTAL)           //如果行计数器小于行总时长H_Cont<=H_Cont+1'b1;      //行计数器+1else H_Cont<=0;              //否则行计数器清零if(H_Cont==H_FRONT-1)        //如果行计数器等于行前沿空白时间-1VGA_HS<=1'b0;             //行同步信号置0if(H_Cont==H_FRONT+H_SYNC-1) //如果行计数器等于行前沿+行同步-1VGA_HS<=1'b1;             //行同步信号置1if(H_Cont>=H_BLANK)          //如果行计数器大于等于行空白总时长X<=H_Cont-H_BLANK;        //X等于行计数器-行空白总时长   (X为当前行第几个像素点)else X<=0;                   //否则X为0endalways@(posedge VGA_HS)beginif(V_Cont<V_TOTAL)           //如果场计数器小于行总时长V_Cont<=V_Cont+1'b1;      //场计数器+1else V_Cont<=0;              //否则场计数器清零if(V_Cont==V_FRONT-1)       //如果场计数器等于场前沿空白时间-1VGA_VS<=1'b0;             //场同步信号置0if(V_Cont==V_FRONT+V_SYNC-1) //如果场计数器等于行前沿+场同步-1VGA_VS<=1'b1;             //场同步信号置1if(V_Cont>=V_BLANK)          //如果场计数器大于等于场空白总时长Y<=V_Cont-V_BLANK;        //Y等于场计数器-场空白总时长    (Y为当前场第几行)  else Y<=0;                   //否则Y为0endreg valid_yr;always@(posedge CLK_to_DAC)if(V_Cont == 10'd32)         //场计数器=32时valid_yr<=1'b1;           //行输入激活else if(V_Cont==10'd512)     //场计数器=512时valid_yr<=1'b0;           //行输入冻结wire valid_y=valid_yr;       //连线   reg valid_r;            always@(posedge CLK_to_DAC)   if((H_Cont == 10'd32)&&valid_y)     //行计数器=32时valid_r<=1'b1;                   //像素输入激活else if((H_Cont==10'd512)&&valid_y) //行计数器=512时 valid_r<=1'b0;                   //像素输入冻结wire valid = valid_r;               //连线wire[10:0] x_dis;     //像素显示控制信号wire[10:0] y_dis;     //行显示控制信号assign x_dis=X;       //连线Xassign y_dis=Y;       //连线Yparameterchar_line00=240'h00000000000000000000000010401020,char_line01=240'h00000000000000000000000010401028,char_line02=240'h00000000000000000000000020A01E24,char_line03=240'h183C0838187E1818183C3C1841102220,char_line04=240'h244238442442242424424224920833FE,char_line05=240'h40420842420442404242424015F64A20,char_line06=240'h4002084242044240420242402040A450,char_line07=240'h5C0408424208425C4204025C60401450,char_line08=240'h621808464208426242180462A7FC0888,char_line09=240'h4204083A421042424204084220401088,char_line0a=240'h42020802421042424202104222502104,char_line0b=240'h42420802421042424242204222484202,char_line0c=240'h22420824241024222442422224440000,char_line0d=240'h1C3C3E181810181C183C7E1C28444888,char_line0e=240'h00000000000000000000000021404444,char_line0f=240'h00000000000000000000000020808444;reg[7:0] char_bit;always@(posedge CLK_to_DAC)if(X==10'd180)char_bit<=9'd240;   //当显示到144像素时准备开始输出图像数据else if(X>10'd180&&X<10'd420)     //左边距屏幕144像素到416像素时    416=144+272(图像宽度)char_bit<=char_bit-1'b1;       //倒着输出图像信息reg[29:0] vga_rgb;                //定义颜色缓存always@(posedge CLK_to_DAC) if(X>10'd180&&X<10'd420)    //X控制图像的横向显示边界:左边距屏幕左边144像素  右边界距屏幕左边界416像素begin case(Y)            //Y控制图像的纵向显示边界:从距离屏幕顶部160像素开始显示第一行数据10'd200:if(char_line00[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;  //如果该行有数据 则颜色为红色else vga_rgb<=30'b0000000000_0000000000_0000000000;                      //否则为黑色10'd201:if(char_line01[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd202:if(char_line02[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd203:if(char_line03[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd204:if(char_line04[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd205:if(char_line05[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd206:if(char_line06[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd207:if(char_line07[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd208:if(char_line08[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000; 10'd209:if(char_line09[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd210:if(char_line0a[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd211:if(char_line0b[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd212:if(char_line0c[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd213:if(char_line0d[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd214:if(char_line0e[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;10'd215:if(char_line0f[char_bit])vga_rgb<=30'b1111111111_0000000000_0000000000;else vga_rgb<=30'b0000000000_0000000000_0000000000;default:vga_rgb<=30'h0000000000;   //默认颜色黑色endcase endelse vga_rgb<=30'h000000000;             //否则黑色assign VGA_R=vga_rgb[23:16];assign VGA_G=vga_rgb[15:8];assign VGA_B=vga_rgb[7:0];
endmodule

四丶图片显示

1.任务分析

VGA显示模块中的ROM是通过例化IP核来实现的只读存储器,它使用FPGA的片上存储资源。由于FPGA的片上存储资源有限,所以ROM中存储的图片大小也受到限制。由于DE2-115开发板上的VGA接口采用RGB565数据格式,即每个像素点的颜色用16bit的数据来表示,因此大小为100100的图片占用的存储空间为100100*16bit=160000bit=156.25Kbit(1Kbit=1024bit)。而开拓者开发板上的FPGA片上存储资源为414Kbit,能够满足实验任务中的图片存储需求。

ROM作为只读存储器,在调用IP核时需要指定初始化文件,在这里就是写入存储器中的图片数据,各种格式的图片(bmp、jpg等)都是以MIF文件的形式导入到ROM中的。MIF是一种Quartus工具能识别的文件格式,在文件的开头定义了存储器的位宽和深度、地址格式、数据格式等信息,紧接着列出了存储单元地址以及写入各地址的数据。例如,一个位宽为16,深度为5的MIF文件内容如下图所示:

当需要存储的数据量较小时,如果我们知道数据的内容,那么就可以仿照图 21.4.2的格式手动编写MIF文件。但是由于图片的数据量较大,并且我们无法直接看出各个像素点对应的颜色数据,因此需要借助工具来实现图片到MIF文件的转换。在这里我们使用正点原子提供的工具“PicToMif”来实现这一转换过程。

我们在Windows自带的“画图”工具中将图片大小调整100100,并利用工具PicToMif转换得到MIF文件。
双击运行 “PicToMif.exe” ,点击“加载图片”并在弹出的界面中选择需要转换的图片
(注意:待转换图片分辨率的大小必须是100
100),图片加载成功后工具会在图片属性中指示出图片的文件名和大小;接下来选择图片转换的数据格式为RGB565;最后点击“一键转换”按钮,在弹出的界面中选择MIF文件的存放路径并输入文件名。PicToMif转换过程中的软件界面如图 所示

最终转换得到的MIF文件部分截图如下所示:

2.代码设计

参考:【FPGA实验】基于DE2-115平台的VGA显示

【FPGA】基于VGA的图像显示相关推荐

  1. FPGA基于VGA显示字符及图片

    一.了解VGA协议 VGA(Video Graphics Array)视频图形阵列是IBM于1987年提出的一个使用模拟信号的电脑显示标准.VGA接口即电脑采用VGA标准输出数据的专用接口.VGA接口 ...

  2. FPGA基于VGA的彩条、字符及图片显示

    文章目录 1.vga简介 2.vga彩条显示 2.1.原理分析 2.2.代码实现 2.3.测试结果 3.实现字符串的显示 3.1.生成点阵字模 3.2.代码编写(文尾会附上整体代码) 3.3.测试结果 ...

  3. 使用 FPGA 开发板采用 Verilog 编程练习基于 VGA 图像显示

    目录 一.VGA 简介 二.实验内容 2.1 Quartus 新建工程 2.2 新建 Verilog 文件 2.3 配置管脚 2.4 DE2-115 开发板连接 2.5 烧录程序 三.总结 四.参考资 ...

  4. imut FPGA课设 基于FPGA的VGA弹球游戏设计 *秋昊

    写在前面的话: 本文主要呈现了一篇IMUT的FPGA课设报告. 课设报告内容(word版),视频演示,程序源码,专业创新实践简介,专业创新实践指导书均已放入下面的百度云链接中,也不大,总共不到20MB ...

  5. 基于FPGA的VGA/LCD显示控制器设计(中)

    今天给大侠带来基于FPGA的VGA/LCD显示控制器设计,由于篇幅较长,分三篇.今天带来第二篇,中篇,VGA 显示原理以及VGA/LCD 显示控制器的基本框架,话不多说,上货. 之前也有图像处理以及V ...

  6. 基于FPGA的VGA接口设计(三)

    关于VGA系列文章的所有链接: 基于FPGA的VGA接口设计(一) 基于FPGA的VGA接口设计(二) 基于FPGA的VGA接口设计(三) 结篇   在之前的文章中介绍了有关VGA的扫描方式.行场同步 ...

  7. 基于FPGA的VGA协议实现

    基于FPGA的VGA协议实现 一.VGA简述 1.VCG接口 2.原理 3.显示器扫描 4.VGA时序分析 5.VGA时钟计算 6.不同分辨率的VGA参数 二.显示彩条 1.色彩原理 2.彩条输出 三 ...

  8. 基于FPGA的VGA显示对贪吃蛇游戏的设计

    基于FPGA的VGA显示对贪吃蛇游戏的设计 摘要 目前,电子数码产品已经进入了人生活的方方面面,而大多数电子产品都依靠显示屏来传递信息,由此可见用电路对显示屏进行控制的研究有很大的实用价值和市场需求. ...

  9. 【接口协议】FPGA 驱动 VGA 显示实验(二)实验设计部分

    目录 实验任务 实验环境 实验设计 程序设计 VGA 时序模块 模块框图 仿真波形 顶层模块 约束文件 实验任务 利用FPGA驱动VGA实现彩条显示,分辨率为800 × 600@60Hz,分别显示三种 ...

  10. FPGA学习——VGA显示

    FPGA学习--VGA显示 一.VGA原理 (一)VGA协议 (二)VGA端口结构 (三)⾊彩原理 (四)扫描原理 1.扫描方式 2.逐行扫描 3.隔行扫描 (五)⾏场信号 二.显示姓名学号 (一)实 ...

最新文章

  1. Ubuntu 将 /home 或 /var 目录挂载到新的分区
  2. SAP 序列号里主批次与库存批次不同,会有什么后果
  3. 特斯拉 model3 没有信号_Model 3在北京失控撞人,特斯拉:未发现任何系统故障
  4. slab 内存分配器介绍(一)
  5. 您如何重命名MongoDB数据库?
  6. 在Linux Ubuntu 18.04.x上安装和配置ActiveMQ-Artemis
  7. 删除重复记录10.22
  8. NYOJ1282部分和问题--DFS剪枝入门
  9. 轻松玩转windows7之一:利用无线玩转虚拟网络
  10. 其他干货——如何做科学报告(oral, poster, eLightning)
  11. doip 源码_DoIP—协议框架
  12. Unity3D 编辑器扩展 强大的OnValidate
  13. 电阻温度系数、电阻精度对电阻分压采样电压的影响
  14. 例题(8.3) 求一元二次方程的根 (1051)
  15. react-router与react-reduct配合使用时页面不刷新问题解决方法
  16. 2021年氧化工艺新版试题及氧化工艺复审考试
  17. iphonex时间显示蓝色_遨游M5 EX敢于打出与苹果iPhone X一样的价格,有特色之处,看下...
  18. 洛谷刷题C语言:距离函数、闰年展示、计算阶乘、猴子吃桃、培训
  19. MFC 画笔功能实现
  20. DDL,DML,DCL

热门文章

  1. Activate Scrolling with Middle Trackpad Button
  2. 2021-12-06 自动化专业C语言上机作业参考答案12
  3. PandoraBox(OpenWrt)配置(做为二级交换机方法)
  4. 如何管理和组织一个机器学习项目
  5. adobe bridge cs6怎么卸载_Adobe Bridge CS6 使用教程(一)
  6. CMYK, RGB颜色值对照表
  7. PWM智能温控风扇的原理
  8. HashMap,LinkedHashMap,TreeMap应用
  9. linux查看weblogic安装路径,linux下weblogic安装
  10. 在Ubuntu 20.04部署SONIC testbed(topo 0)