目录

实验任务

实验环境

实验设计

程序设计

VGA 时序模块

模块框图

仿真波形

顶层模块

约束文件


实验任务

利用FPGA驱动VGA实现彩条显示,分辨率为800 × 600@60Hz,分别显示三种颜色。

实验环境

开发环境:vivado 2021.2

FPGA 器件:ZedBoard

实验设计

本次实验VGA显示为800 × 600@60Hz,根据下图的参数可以对相应的参数进行设定。

以 800*600/60Hz 为例, 这一指标代表刷新频率为 60Hz, 分辨率为 800X600。 标准 VGA 显示驱动中刷新频率 60 Hz 即为 1 秒显示 60 幅图像。此外, 从上表中可以看出, 在该分辨率下行同步信号的同步脉冲是 128 个基准时钟, 显示后沿是 88 个基准时钟, 显示区域是 800 个基准时钟, 显示前沿是 40 个基准时钟, 通过相加可以得出一行一共有 1056 个基准时钟。 该分辨率下的场同步信号的同步脉冲是 4 行(4*1056 个基准时钟), 显示后沿是 23 行(23*1056 个基准时钟), 显示区域为 600 行(600*1056 个基准时钟), 显示前沿为 1 行(1*1056 个基准时钟), 共计 623 行(623*1056 个基准时钟) 。那么基准时钟又是多少呢? 由于 1 秒显示 60 幅图像, 所以一幅图像显示的时间是 1/60 秒。 由于一幅图像占用了 623*1056 个基准时钟, 则基准时钟周期 =(1/60) /(623*1056) 秒, 约为 25.3336ns。 因此, 基准时钟频率约为 39.476 MHz, 在本设计中取 40 M。

在这里将两个信号融合在一起解释一下。 每一行的扫描需要行同步信号, 且每一行中都具有同步脉冲 a, 显示后沿 b, 显示时序 c 和显示前沿 d 四个阶段, 完成一行扫描后再进入到下一行再次重复同样的四个阶段, 这一过程为行同步。 将范围扩大, 点动成线即为行, 线动成面即为场。 场同步中和行同步一样依旧分为四个阶段, 同步脉冲a, 显示后沿 b, 显示时序 c 和显示前沿 d。 因此就有了上面所示的时序图,场同步的单位就为行同步。

这也是最终选取的显示区域需要行同步和场同步都处于显示时序 c 中的原因。 试想一下, 如果行同步处于显示脉冲 c 的位置, 但是场同步还处于同步脉冲 a 的位置, 在这一状态下无法完成图像的显示。 同理, 如果场同步处于显示脉冲 c 的位置, 但是行同步处于同步脉冲 a 的位置, 也同样无法显示图像。 这是一个包含与被包含的关系, 在场同步脉冲 c 位置的时候, 包含了很多个时序四个脉冲的行同步, 而只有在行同步中也处于同步脉冲 c 的位置时才可以真正显示图像。

将参行时序和列时序的参数进行申明

并定义两个计数器,分别计数行和列。并在正确的计数值显示图像信息,根据时序要求,必须在行和列都处于显示区域才可以输出图像显示,也就是出去了同步脉冲部分,这个部分是在扫描一行后切换到下一行的时间,因此这段时间是不输出图像的。

程序设计

VGA 时序模块

/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
// Author      : Linest-5
// File        : vga_800_600.v
// Create      : 2022-10-04 10:08:05
// Revise      : 2022-10-04 11:10:17
// Module Name : vga_800_600
// Description : vga输出分辨率为800*600@60HZ,俄罗斯国旗
// Editor      : sublime text3, tab size (4)
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/module vga_800_600(input                 clk,             //40MHzinput                 rst,             //复位信号,高有效output reg            hsync,           //行同步信号output reg            vsync,           //场同步信号output reg  [23:0]    vga_data         //VGA输出);
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
/*       Parameters & Signals                                                    */
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
parameter   H_SYNC_PULSE   =  'd128;       //行同步脉冲
parameter   H_BACK_PORCH   =  'd88;        //行显示后沿
parameter   H_DISPLAY      =  'd800;       //行显示区域
parameter   H_FRONT_PORCH  =  'd40;        //行显示前沿
parameter   H_FRAME_LEN    =  'd1056;      //行帧长
parameter   V_SYNC_PULSE   =  'd4;         //场同步脉冲
parameter   V_BACK_PORCH   =  'd23;        //场显示后沿
parameter   V_DISPLAY      =  'd600;       //场显示区域
parameter   V_FRONT_PORCH  =  'd1;         //场显示前沿
parameter   V_FRAME_LEN    =  'd628;       //场帧长reg   [11:0]              hsync_cnt;
wire                      add_hsync_cnt;
wire                      end_hsync_cnt;
reg   [11:0]              vsync_cnt;
wire                      add_vsync_cnt;
wire                      end_vsync_cnt;/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
/*       Main Code                                                               */
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
//行帧长计数
always @(posedge clk or posedge rst) beginif (rst) beginhsync_cnt <= 'd0;endelse if (add_hsync_cnt && end_hsync_cnt) beginhsync_cnt <= 'd0;endelse if (add_hsync_cnt) beginhsync_cnt <= hsync_cnt + 'd1;endelse beginhsync_cnt <= hsync_cnt;end
endassign add_hsync_cnt = 'd1;
assign end_hsync_cnt = add_hsync_cnt && (hsync_cnt == (H_FRAME_LEN - 1));//场帧长计数
always @(posedge clk or posedge rst) beginif (rst) beginvsync_cnt <= 'd0;endelse if (add_vsync_cnt && end_vsync_cnt) beginvsync_cnt <= 'd0;endelse if (add_vsync_cnt) beginvsync_cnt <= vsync_cnt + 'd1;endelse beginvsync_cnt <= vsync_cnt;end
endassign add_vsync_cnt = end_hsync_cnt;
assign end_vsync_cnt = add_vsync_cnt && (vsync_cnt == (V_FRAME_LEN - 1));//行同步信号
always @(posedge clk or posedge rst) beginif (rst) beginhsync <= 'd0;endelse if (hsync_cnt >= 'd0 && (hsync_cnt <= (H_SYNC_PULSE - 2))) beginhsync <= 'd0;endelse beginhsync <= 'd1;end
end//场同步信号
always @(posedge clk or posedge rst) beginif (rst) beginvsync <= 'd0;endelse if (vsync_cnt >= 'd0 && (vsync_cnt <= (V_SYNC_PULSE - 2))) beginvsync <= 'd0;endelse beginvsync <= 'd1;end
end//VGA输出信号
always @(posedge clk or posedge rst) beginif (rst) beginvga_data <= 'd0;      //BLACKendelse if ((hsync_cnt >= 'd215) && (hsync_cnt <= 'd1014) && (vsync_cnt >= 'd26) && (vsync_cnt <= 'd225)) beginvga_data <= 24'hffffff;    //whiteendelse if ((hsync_cnt >= 'd215) && (hsync_cnt <= 'd1014) && (vsync_cnt >= 'd226) && (vsync_cnt <= 'd425)) beginvga_data <= 24'h0000ff;    //BLUEendelse if ((hsync_cnt >= 'd215) && (hsync_cnt <= 'd1014) && (vsync_cnt >= 'd426) && (vsync_cnt <= 'd625)) beginvga_data <= 24'hff0000;    //REDendelse beginvga_data <= 'd0;                     //BLACKend
endendmodule

模块框图

仿真波形

可以看到当行计数每完成依次行帧长计数,场计数加1,由于计数值过大无法展开细看。

顶层模块

顶层模块的作用是例化VGA时序模块以及PLL时钟模块,因为这里VGA所需时钟为40MHz,而板卡上的时钟为100MHz,因此需要例化锁相环将时钟分频到40MHz。最后,因为VGA输出引脚为RGB三种各4个,因此需要将VGA时序模块输出的8位信号截取4位输出。

完整代码

/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
// Author      : Linest-5
// File        : vga_top.v
// Create      : 2022-10-05 19:01:03
// Revise      : 2022-10-05 20:32:00
// Module Name :
// Description :
// Editor      : sublime text3, tab size (4)
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/
/*~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~*/module vga_top(input             clk_in,input             rst,output            hsync,output            vsync,output  [3:0]     rgb_red,output  [3:0]     rgb_green,output  [3:0]     rgb_blue);wire                  clk;
wire  [23:0]          vga_data;assign rgb_red   = vga_data[23:20];
assign rgb_green = vga_data[15:12];
assign rgb_blue  = vga_data[7:4];vga_800_600 inst_vga_800_600 (.clk      (clk),.rst      (rst),.hsync    (hsync),.vsync    (vsync),.vga_data (vga_data)
);vga_clk_40m vga_clk_inst (// Clock out ports.clk_out1(clk),     // output clk_out1// Clock in ports.clk_in1(clk_in)   // input clk_in1
);      endmodule

约束文件

对着原理图进行绑定即可,可以手动约束,也可以自己写约束文件。

set_property PACKAGE_PIN V18 [get_ports {rgb_red[3]}]
set_property PACKAGE_PIN V19 [get_ports {rgb_red[2]}]
set_property PACKAGE_PIN V20 [get_ports {rgb_red[0]}]
set_property PACKAGE_PIN U20 [get_ports {rgb_red[1]}]
set_property PACKAGE_PIN AB22 [get_ports {rgb_green[0]}]
set_property PACKAGE_PIN AA22 [get_ports {rgb_green[1]}]
set_property PACKAGE_PIN AB21 [get_ports {rgb_green[2]}]
set_property PACKAGE_PIN AA21 [get_ports {rgb_green[3]}]
set_property PACKAGE_PIN Y21 [get_ports {rgb_blue[0]}]
set_property PACKAGE_PIN Y20 [get_ports {rgb_blue[1]}]
set_property PACKAGE_PIN AB20 [get_ports {rgb_blue[2]}]
set_property PACKAGE_PIN AB19 [get_ports {rgb_blue[3]}]
set_property PACKAGE_PIN AA19 [get_ports hsync]
set_property PACKAGE_PIN Y19 [get_ports vsync]
set_property PACKAGE_PIN Y9 [get_ports clk_in]
set_property PACKAGE_PIN T18 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_blue[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_blue[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_blue[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_blue[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_green[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_green[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_green[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_green[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_red[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_red[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_red[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {rgb_red[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports clk_in]
set_property IOSTANDARD LVCMOS33 [get_ports hsync]
set_property IOSTANDARD LVCMOS33 [get_ports rst]
set_property IOSTANDARD LVCMOS33 [get_ports vsync]

最后生成比特流文件下载至板卡,用VGA线将FPGA和显示屏相连接,即可看到以下效果(俄罗斯国旗~)。

【接口协议】FPGA 驱动 VGA 显示实验(二)实验设计部分相关推荐

  1. FPGA实现VGA显示(二)——————color_bar显示及方框移动(参考开拓者FPGA开发指南)

    首先感谢所有开源分享资源的博主或者机构个人,这篇主要参考开拓者FPGA开发指南.通过分析代码,理清思路. 主要分为四个模块,顶层模块,时钟分频模块,VGA显示模块,VGA驱动模块.前三个模块在任何时候 ...

  2. 基于FPGA的VGA显示实验

    VGA驱动原理 信号线 定义 HS 行同步信号(3.3V 电平) VS 场同步信号(3.3V 电平) R 红基色 (0~0.714V 模拟信号) G 绿基色 (0~0.714V 模拟信号) B 蓝基色 ...

  3. FPGA实现VGA显示(三)——————单个字符显示

    这里笔者首先记录一个问题,给自己提个醒,所有的模块都有一点点的问题,在行数列数都可能有一行像素的误差,有可能是在驱动和显示模块的问题,等后面修改,这里第一次做,只要不影响显示,实现功能. 字符显示只修 ...

  4. 基于FPGA的VGA显示彩条、字符、图片

    目录 一.VGA介绍 (一) VGA协议 (二) VGA端口介绍 (三) 色彩原理 (四)VGA显示原理 VGA通信协议: VGA时序解析 时钟分频 二.实现 ​1.彩条显示 2.字符显示 3.图片显 ...

  5. 基于FPGA的VGA显示对贪吃蛇游戏的设计

    基于FPGA的VGA显示对贪吃蛇游戏的设计 摘要 目前,电子数码产品已经进入了人生活的方方面面,而大多数电子产品都依靠显示屏来传递信息,由此可见用电路对显示屏进行控制的研究有很大的实用价值和市场需求. ...

  6. FPGA学习——VGA显示

    FPGA学习--VGA显示 一.VGA原理 (一)VGA协议 (二)VGA端口结构 (三)⾊彩原理 (四)扫描原理 1.扫描方式 2.逐行扫描 3.隔行扫描 (五)⾏场信号 二.显示姓名学号 (一)实 ...

  7. FPGA--(基于Quartus的FPAG程序下载与固化教程)VGA显示实验之上板测试

    本节内容旨在教会大家如何下载程序进入FPGA并且验证我们前几节所做的VGA显示实验. 材料 EP4CE10F17C8N FPGA .USB_Blaster 下载器 完整Verilog代码.Quartu ...

  8. FPGA驱动VGA显示器_VGA电阻匹配网络法_VGA彩条实验_VGA彩条flowing_VGA显示器时序图_VGA显示器原理_verilog_源码_testbench_现象

    VGA电阻网络分压法硬件电路:https://blog.csdn.net/mr_liu_666/article/details/102761178 首先给出各部分的源码,有兴趣的朋友可以去下面看VGA ...

  9. FPGA实现VGA显示(一)——————屏幕驱动及color_bar显示

    VGA显示,就是屏幕应用VGA接口线点亮屏幕.同样需要遵守一定的条件.符合时序,才能点亮屏幕,控制屏幕的显示. 这里参考 http://dengkanwen.com/70.html 感谢,同时这里还有 ...

最新文章

  1. Win10如何远程连接Windows Server 2008,以及提示“您的凭证不工作”问题解决
  2. ICCV 2021 | 腾讯、华中科大提出QueryInst,开启基于Query的实例分割新思路
  3. c++中outtextxy_第12章 坚持一百秒(《C和C++游戏趣味编程》配套教学视频)
  4. 【转】ARC下dealloc过程及.cxx_destruct的探究
  5. pdf pmp书籍第六版_PMP项目管理 第六版 (带目录完整高清版).pdf
  6. CRMEB小程序商城源码,好多程序员都在用的开源商城源码
  7. 对ichartjs基于基于HTML5的开源图形组件画图的心得
  8. 南昌工程学院c语言试题,南昌工程学院概率论与数理统计试题库部分题目
  9. 从君臣到战友:《谦逊领导力》就是敏捷领导力
  10. (附源码)计算机毕业设计ssm大学生体检管理系统
  11. python模拟浏览器访问企查查_python爬虫另辟蹊径绕过企查查的登录验证,我太冇财了...
  12. 计算机考试不在学籍库,有消息!中考报名将由学籍库直接导入,取消学校考试排名........
  13. 第二组第一次成团哈哈哈哈
  14. 关于薪水保险金的那些事
  15. 某音直播协议实现技术探讨
  16. 阿里巴巴心石:面向5G优酷正在做三件事
  17. 【WPF】附加事件--《深入浅出WPF》
  18. 算法题涂小天与他的画
  19. 校园认证客户端弹出UAC窗口解决办法-修改注册表
  20. 正确使用矢量网络分析仪的方法

热门文章

  1. CPU到计算机刷新速度,计算机CPU运算速度是多少
  2. SAP中发票冻结原因
  3. 即时通信回弹 android,放大10倍看华为 P30,看完“真像”的你可能和我一样放弃 P30Pro...
  4. 写给所有想爱的,在爱的和失去爱的人
  5. 微信朋友圈图片样式实现方法
  6. CMMI之功能点估算法:EI 、EQ、EO
  7. 开发im即时通讯如何用Netty实现心跳机制、断线重连机制
  8. 爬虫day6常见的反爬总结
  9. 3DMAX森林树木植物插Forest Pack Pro 预设库安装教程
  10. 安卓调用微信sdk正常,ios报invalid signature的错误