文章目录

  • 一、实验目的
  • 二、实验任务及要求
  • 三、实验原理与步骤
    • 1、实验原理
    • 2、实验步骤
    • 3、源程序清单以及注释
  • 四、实验结果与分析

一、实验目的

1、熟悉 Quartus II 开发环境,掌握原理图输入方式、文本输入方式和波形仿真;

2、熟练掌握在 Quartus II 环境中进行 FPGA 设计的流程;熟悉DE2-115开发板及其使用。

二、实验任务及要求

1、熟悉 Quartus II 开发环境,掌握原理图输入方式,在 Quartus II 中用原理图方式实现半加器,并用 Quartus II5.1 中的波形仿真。仿真成功后,生成半加器图形符号以供后续程序调用;

2、在 Quartus II 中用原理图方式实现全加器,要求调用任务一中的半加器来实现;

3.采用文本输入方式编写一个简单的程序,要求用 DE2-115 开发板上的 SW0 拨动开关控制 LED0 二极管的亮灭,当 SW0 拨到 1 的位置,LED0 亮,否则 LED0 灭。

4.采用文本输入方式编写一个简单的程序,要求用 DE2-115 开发板上的SW17 拨动开关控制 LEDR17 二极管的亮灭,当 SW17 拨到 1 的位置,LED17 亮,否则 LED17 灭。

5(选做).尝试采用 2 个拨动开关控制 4 个 LED 等的亮灭,要求:当输入00 时,LEDR0 亮;当输入为 01 时,LEDR1 亮;当输入为 10 时,LEDR2 亮;当输入为 11 时,LEDR3 亮;

三、实验原理与步骤

1、实验原理

EDA 的设计是从设计输入开始,然后进行综合、适配、仿真,最后生成编程文件并装入PLD中。首先以HDL文本或原理图形式输入,描述连接关系和芯片内部逻辑及引脚的接口。然后将原理图或HDL语言表达、描述的电路编译成由逻辑单元组成的电路结构网表。之后将综合生成的短路逻辑网表映射到具体的目标器件中实现。最后将生产成的文件下载到PLD器件中。仿真则是对设计电路功能的验证,在quartus II 中进行。

2、实验步骤

(1) 任务一

①在开始菜单中打开 quartusII5.1 软件。

②在 D 盘新建一个名为 sy11 的文件夹。

③新建一个工程:在 quartusII5.1 软件中,单击 File 菜单,选择 New Project Wizard 菜单项,单击 next,出现如下对话框,选择工程所在文件夹并输 入工程名后单击 finish。

④新建文件:在 quartusII5.1 软件中,单击 File 菜单,选择 New 菜单项,弹出如下的窗口,选择 Block Diagram/Schematic File(原理图输入方式)后单击 ok 按钮。

⑤在画布上画出电路图

⑥保存文件,文件名保存为 sy11.bdf

⑦将当前文件设置为 top-level entity:首先在 project navigator 窗口单击 Files 按钮,然后单击 Device Design Files 前的加号+。

⑧编译,单击工具栏的编译按钮

⑨编译成功后,仿真:一,首先,建立仿真波形文件,在 quartusII5.1 软件 File 菜单中单击 new,弹出下图所示的对话框,选择第一行的 other files 选项 卡,然后选择 vector waveform file 选项,单击 ok 按钮。

⑩仿真成功后,将半加器设置成可调用的元件,供其它程序调用。

(2) 任务二

①在 D 盘新建一个文件夹,命名为 sy12。

②新建一个工程,工程路径为 D:/sy12,工程名为 sy12。将任务一的文件夹 sy11中的sy11.bdf和sy11.bsf两个文件拷贝一份到当前工程所在文件夹sy12中。

③新建一个原理图文件,按照任务一的方法和步骤在画布中画出全加器的原理图。

④画好原理图(全加器的原理图请见教材 P64 的图 3.25)后,编译,仿真。仿真具体过程参见任务一的步骤。该实验完成后直接关掉 quartusII5.1 软件。

(3) 任务三

①在开始菜单中打开 quartusII10.0 软件。

②在 D 盘新建一个文件夹,命名为 sy13。

③在 quartusII10.0 软件中新建一个工程,工程名为 sy13;

器件选择如下图所示。选好器件后直接点 finish。若在选器件之前不小心点了 finish 也没关系,可单击 Assignments 菜单栏中的 Device 选项打开器件选择窗口进行器件选择。也可在工程管理窗口的器件上点击右键,选择Device 选项设置。

④新建一个文本文件,注意,以后的 实验都是用文本输入方式,都是选择“VerilogHDL File”选项。选好后单击 ok 按钮。

⑤ 输入程序。并进行管脚分配,分配管脚需要参考文件“DE2-115 中文说明书”第四章的内容,用到哪个连接到 FPGA 上的元件,就要看该元件的说明,比如用到拨动开关 sw0 和 LEDR0,则需要参考 de2-115 第四章的4.2节(使用拨动开关)和第 4.3 节(使用 LED),具体管脚分配方法请参考 1415 本实验指导最前面开篇部分介绍的管脚分配方法,选择其中一种方法来分配管脚即可。

⑥保存文件,注意:文件名一定要和模块名一模一样(可把模块名复制一下,然后在保存的时候粘贴)。

⑦编译。

⑧编译成功后,下载程序。下载之前需要把 de2-115 开发板和电脑连起来,并安装 de2-115 的驱动,具体方法请参见该指导书的前言第 0.3.1 节的usb-blaster 驱动安装方法。

⑨下载程序。单击 Tools 菜单,选择 Programmer 选项,打开 Programmer 对 话框,在 Programmer 对话框中点击 hardware setup,点击 hardware setup 对话框中的 currently selected hardware 选项后的 no hardware 下拉菜单,选usb-blaster[USB-0],若没看到usb-blaster[USB-0]选项,重新点击hardware setup 进行选择(usb-blaster 驱动装好后有延时,需要耐心选择)硬件选择

好后 hardware setup 后面的那个框显示的是usb-blaster[USB-0],而不是 no hardware。最后单击 Programmer 对话框中的 start 按钮进行程序下载。

⑩在线测试。程序下载到开发板后观察程序运行结果是否与预期的一样,若不一样则需要修改程序。

(4) 任务四

采用文本输入方式编写一个简单的程序,要求用 DE2-115 开发板上的SW17 拨动开关控制 LEDR17 二极管的亮灭,当 SW17 拨到 1 的位置,LED17亮,否则 LED17 灭。

(5) 任务五(选做)

尝试采用 2 个拨动开关控制 4 个 LED 等的亮灭,要求:当输入

00 时,LEDR0 亮;当输入为 01 时,LEDR1 亮;当输入为 10 时,LEDR2 亮;当输入为 11 时,LEDR3 亮;

3、源程序清单以及注释

任务四

任务五

四、实验结果与分析

1、仿真波形要截图、de2-115开发板上的实验结果要拍照

任务一


任务二



2、仿真波形分析及对de2-115开发板上的实验结果分析

由于是第一次进行eda实验,还无法快速适应将课本上的理论知识与实验进行结合,所以对如何使用器材以及操作步骤不是很熟练,打代码也时不时寻求老师的帮助。通过这一次实验,让我基本了解了器材的使用以及QuartusII软件的使用,希望自己下一次可以更好地去掌握并使用FGPA/SoC 实验箱和QuartusII软件。

Quartus II 软件和 DE2-115 开发板使用入门相关推荐

  1. 基于DE2 115开发板驱动HC_SR04超声波测距模块【附源码】

    目录 1. 实验平台与目的 2. 实验要求 3. 实验原理 3.1. 理论原理 3.2. 硬件模块时序图 4. 系统架构设计 5. 模块说明 5.1. hc_sr_driver端口信号列表 5.2. ...

  2. FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    文章目录 一.Quartus II软件的安装 二.USB-BLaster驱动安装 一.Quartus II软件的安装 当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus ...

  3. 【EDA实验一】Quartus II 软件和 DE2-115 开发板 使用入门实验

    Quartus II 软件和 DE2-115 开发板 使用入门实验 文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 四.实验结果与分析 一.实验目的 熟悉 Quartus II 开发环 ...

  4. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  5. 基于Quartus II 软件(VHDL)设计

    目录 一,基于 Quartus II 的数字系统设计流程 二,Quartus II 软件使用介绍 1. 建立工程 2. 设计输入 3. 编译 4. 时序仿真 quartus ii 安装请参考: Qua ...

  6. Quartus ii 软件的使用

    一.开发工程 1.新建工程 选择一个路径作为工程存放位置,然后在工程文件夹创建4个子文件夹,分别命名为: doc.par.rtl和sim. doc文件夹用于存放项目相关的文档, par文件夹用于存放Q ...

  7. 基于Quartus II软件的FPGA综合实验——多功能数字钟

    有很多自制元器件,内部电路附在文章中 文章目录 前言 一.设计要求 二.设计原理 三.设计过程 1.数码管扫描模块 2.计时模块 3.闹钟模块 4.闹钟响铃模块 5.数码管显示模块 6.整点报时功能 ...

  8. Quartus II 软件编写程序及烧录步骤

    Quartus II 软件编写程序及烧录步骤 最近刚开始接触这个软件,这次详细记录了部分使用步骤,当做备忘录.

  9. Quartus ii 软件仿真基本流程(使用VHDL)

    文章首发于我的个人博客 这是VHDL系列教程的第一个教程.所谓教程,其实也就是记录我本人在学习过程中遇到的问题和学习内容的笔记,分享在这里供其他初学者参考,如果博客中出现任何错误或不严谨的地方,您可以 ...

  10. Quartus II软件添加设备

    文章目录 前言 一.前期准备 二.进入网站并下载对应的.qdz文件 1.先进入Intel主页并登录账号 2.找到下载地址 Quartus软件中添加设备 前言 最近为了调试Cyclone V系列的一个F ...

最新文章

  1. 轻松应对IDC机房带宽突然暴涨问题
  2. 推荐几首好听的Coldplay的歌
  3. 基于webuploader.js的单图片上传封装
  4. Kernel Video Converter中文版
  5. java 进程描述_java 进程和线程
  6. 经典C语言程序100例之五七
  7. ipython的使用
  8. android UI进阶之布局的优化
  9. 使用IDM继续下载会话过期的文件
  10. mysql大数据高并发处理
  11. JAVA费罗切后拨只能调节5档,越野怪兽JAVA摆渡人(ANIMA)山地车
  12. 天津大学计算机组成原理,天津大学计算机学院计算机组成原理复习材料.docx
  13. 如何手机桌面加计算机,教你自制手机、电脑壁纸|手机一键加字、加光晕特效,4步搞定!...
  14. AI为什么救不了“想上天”的猪?
  15. 优维EasyOps,打造新一代运维新方式
  16. 微信小程序---实现tab选项卡
  17. 计算机组成原理的重难点,《计算机组成原理》重点难点习题
  18. 范飞龙的博客 | 目录
  19. Oracle Error:ORA-01465: 无效的十六进制数字【解决办法】
  20. 音悦台www.yinyuetai.com下载方法

热门文章

  1. Android Studio中虚拟机运行时报错的解决方法
  2. 再度盈利,搜狐稳了?
  3. 1.SPSS概述(安装与界面基本认识)
  4. Python数据结构之平衡二叉树
  5. MATLAB车道线识别
  6. +中文词频统计及词云制作9-25
  7. 在抖音里怎么快速涨粉,抖音视频怎么发最容易上热门
  8. Ubuntu18.04主题美化教程
  9. 【python基础】递归函数
  10. IDEMIA被选中提供其下一代指纹匹配引擎,成为英国内政部生物识别计划的一部分