文章首发于我的个人博客

这是VHDL系列教程的第一个教程。所谓教程,其实也就是记录我本人在学习过程中遇到的问题和学习内容的笔记,分享在这里供其他初学者参考,如果博客中出现任何错误或不严谨的地方,您可以在下方评论区指出来,您的反馈是对我最大的帮助,万分感谢。

这篇博客主要介绍使用Quartus ii软件进行仿真的步骤,由于是第一篇所以过程详细但有些冗余(问题不大),之后的教程应该专注于VHDL语言本身以及Quartus ii的一些使用技巧。

本教程将用VHDL实现D触发器来走一遍Quartus ii仿真的流程。

首先,打开Quartus ii仿真软件,新建一个工程。

点击Next;

这三个名称都应该相同;

与上一步的文件名也相同;

这里需要选择要编译对应的硬件设备。但是如果不用FPGA硬件仿真可以直接默认,对方真影响不是很大,但如果学校有FPGA板子则尽量选择板子对应的型号;

工程新建完成之后,再创建一个VHDL文件;

如果刚刚新建的VHDL没有保存,可以再File里选择另存为;

VHDL文件名和工程名相同;

在刚刚新建的VHDL文件中写入D触发器的实现代码(这里不做代码的讲解),然后点击小三角选择编译;

-- D trigger achievementlibrary ieee;
use ieee.std_logic_1164.all;ENTITY Dtrigger IS PORT(D, clk : IN STD_LOGIC;Q : OUT STD_LOGIC);
END ENTITY Dtrigger;ARCHITECTURE one of Dtrigger is SIGNAL sig_save : STD_LOGIC;BEGIN PROCESS(clk)BEGIN if clk'event and clk='1' then-- == rising_edge(clk) thensig_save <= D;end if;END PROCESS;Q <= sig_save;
END ARCHITECTURE one;

新建一个波形仿真文件;

新建波形仿真文件之后,在Edit中选择End Time设置仿真终止时间,默认为1us,时间有点短,改大一点;

在这里我设置为51us;

可以使用Ctrl+滚轮放缩。之后双击Name区域导入变量;

点击list按钮就会显示出Entity(实体)定义的所有变量,之后全部导入即可;

之后在波形文件中画出clk时序波形和输入变量D的波形,在画的时候我的经验是选择左下角的Grid,这样跟容易画;

Processing下拉菜单的Simulator Tool里选择仿真方式;

选择Timing,即时序仿真;选择Functional,即功能仿真;这里选择Timing;

点击波形仿真按钮;仿真结束可以在仿真图里看到,当clk时钟信号为上升沿的时候,输出信号Q会随输入信号D的变化而变化,clk时钟信号为0的时候,保持原状态;

选择Tools下拉菜单里的Netlist Viewers–>RTL Viewer可以查看VHDL描述的硬件电路。(其实在VHDL编译之后就可以查看)

硬件电路如下图所示。

好的,以上就是本篇教程的全部内容了,以后的教程跟多的内容会是VHDL语言本身以及Quartus ii的使用技巧了。

End.

Quartus ii 软件仿真基本流程(使用VHDL)相关推荐

  1. Quartus II 功能仿真设置流程

    Quartus II功能仿真设置流程 1.新建一个.vwf文件 2.右键点击Name下白框,添加Node/Bus 3.如果已经知道名称和类型,直接键入 如果不确定或向多个输入,点击"Node ...

  2. 基于Quartus II 软件(VHDL)设计

    目录 一,基于 Quartus II 的数字系统设计流程 二,Quartus II 软件使用介绍 1. 建立工程 2. 设计输入 3. 编译 4. 时序仿真 quartus ii 安装请参考: Qua ...

  3. Quartus ii 软件的使用

    一.开发工程 1.新建工程 选择一个路径作为工程存放位置,然后在工程文件夹创建4个子文件夹,分别命名为: doc.par.rtl和sim. doc文件夹用于存放项目相关的文档, par文件夹用于存放Q ...

  4. 【EDA实验一】Quartus II 软件和 DE2-115 开发板 使用入门实验

    Quartus II 软件和 DE2-115 开发板 使用入门实验 文章目录 一.实验目的 二.实验任务及要求 三.实验原理与步骤 四.实验结果与分析 一.实验目的 熟悉 Quartus II 开发环 ...

  5. 基于Quartus II软件FPGA与PC之间的串行通信系统开发(9000+字)

    1.简介与仿真结论 随着集成电路技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域.电子设计自动化是一种实现电子系统或电子产品自动化设计的技术,它与 ...

  6. 基于Quartus II软件的FPGA综合实验——多功能数字钟

    有很多自制元器件,内部电路附在文章中 文章目录 前言 一.设计要求 二.设计原理 三.设计过程 1.数码管扫描模块 2.计时模块 3.闹钟模块 4.闹钟响铃模块 5.数码管显示模块 6.整点报时功能 ...

  7. Quartus II 软件编写程序及烧录步骤

    Quartus II 软件编写程序及烧录步骤 最近刚开始接触这个软件,这次详细记录了部分使用步骤,当做备忘录.

  8. FPGA新起点V1开发板(二)——Quartus II软件的安装和USB-BLaster驱动安装

    文章目录 一.Quartus II软件的安装 二.USB-BLaster驱动安装 一.Quartus II软件的安装 当然,这种东西我要是再写一遍就很无聊了,这里给出方法和连接 视频:Quartus ...

  9. Quartus II软件添加设备

    文章目录 前言 一.前期准备 二.进入网站并下载对应的.qdz文件 1.先进入Intel主页并登录账号 2.找到下载地址 Quartus软件中添加设备 前言 最近为了调试Cyclone V系列的一个F ...

最新文章

  1. ssh linux免密登录。。。。生产共钥到另一台主机
  2. java蓝桥杯 试题-基础练习-数列排序
  3. python函数知识点总结_python函数map()和partial()的知识点总结
  4. python协成_Python协程(上)
  5. yum 安装的时候出现错误
  6. 2.图像作为函数 | 生成高斯噪音_8
  7. js弹出框、对话框、提示框、弹窗总结
  8. c语言做一个体育教学软件,深度学习下的体育教学
  9. [Python] 使用 UN Comtrade API 高效获取数据
  10. 手把手教你用python写游戏
  11. 电容或电感的电压_纯电阻、纯电感和纯电容电路
  12. SpringBoot 整合ActiveMQ
  13. android追美剧app,追美剧必备神器!安卓追剧助手App体验
  14. 正大国际期货:外盘黄金期货怎么做,需要注意什么?
  15. 竑观资产合伙人孙霄汉:区块链经济三个系统的X关系
  16. 操作系统:磁盘的移臂调度算法
  17. 联想电脑安装Ubuntu后无法进入bios
  18. flutter与android原生通信
  19. ElasticSearch实战系列02:中文+拼音混合检索,并高亮显示
  20. Minecraft群组服开服

热门文章

  1. ATP Public 001直播回顾:偶然与必然,从中医药大学到微软
  2. Java 编程高手(附盘) 译作者: 博彦科技
  3. 二维矩阵的常见转换技巧
  4. 桌面窗口小部件添加List
  5. 2021年质量员-市政方向-岗位技能(质量员)考试总结及质量员-市政方向-岗位技能(质量员)试题及解析
  6. 发动机涂胶质量照相检测
  7. 中值滤波原理及c++实现
  8. 计算机的iscsi配置,Windows server 2012 R2 下配置ISCSI网络存储
  9. springboot预约挂号小程序毕业设计毕设作品开题报告开题答辩PPT
  10. 中国本土化编程(汉语编程)之我见