Quartus II的安装及使用

  • 前言
  • 一、Quartus II的下载
  • 二、Quartus II的安装
  • 三、Quartus II的注册
  • 四、Quartus II的使用
    • (一)相关驱动的配置
    • (二)使用流程的认识
    • (三)使用过程
      • 1.新建工程
      • 2.设计输入
      • 3.配置工程
      • 4.分析与综合(编译)
      • 5.分配引脚
      • 6.编译工程
      • 7.下载程序
  • 参考链接

前言

本文章是对Quartus II 13.1的安装及使用方法的介绍说明。

一、Quartus II的下载

百度网盘下载链接:
https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA
提取码:ifte
说明:
本链接来自于正点原子官方资料下载

二、Quartus II的安装

1.解压压缩包

2.运行可执行程序
3.安装导向过程
①进入welcom界面

②勾选“I accept the agreement”,然后点击Next

③选择相应安装的位置,然后点击Next

④选择安装的内容,然后点击Next

⑤点击Next

⑥安装过程(此过程时间可能比较久)

⑦点击Finish

⑧选择OK

三、Quartus II的注册

1.下载破解器文件
百度网盘链接:
https://pan.baidu.com/s/16GnGbr4v-EFKF0VZYUArsg
提取码:766d
复制这段内容后打开百度网盘手机App,操作更方便哦
2.破解器的使用
①将Quartus_13.0_x64破解器.rar文件放在 ******\quartus\bin64 下面,并且解压将文件放到该目录下,运行可执行文件
②查找到 sys_cpt.dll 文件,然后双击此文件
③在安装目录下方生成一个“license”文件,点击“保存”

④破解器显示如下,点击“退出”就可以

⑤运行Quartus II 13.1,选择第二个,点击“ok”


⑥选择“tools”下方的“license setup”后,会弹出如下窗口,将ID复制即可


⑦在“ 安装目录中的\quartus\bin64\”下找到“license”文件,并以记事本打开,如下图,画红色框的地方,将上一步所复制的 NIC ID替换掉 “XXXXXXXXX” 就完成了注册

⑧打开 Quartus II ,按照下面的步骤打: Tool --> License Setup

四、Quartus II的使用

(一)相关驱动的配置

1.将USB线一端连接下载器,另一段插到电脑的USB接口上面
右键点击桌面的【计算机】→【管理】→【设备管理
器】

2.右击选中【USB-Blaster】,并选择【更新驱动程序软件§】,接着选择浏览我的计算机以查找驱动程序软件

3.点 击 【 浏 览 】 按 钮 选 择 驱 动 程 序 的 路 径 为 Quartus 软 件 安 装 目 录下\qurtus\drivers\usb-blaster,点击【下一步】

4.选中“始终信任…”前面的方框,然后点击【安装】按钮开始安装驱动程序

5.安装完成后,下载器可以正常使用

(二)使用流程的认识

(三)使用过程

1.新建工程

创建相关的文件夹

文件夹名称 文件夹描述
doc 存放工程相关文档
par 存放Quartus软件的工程文件
rtl 存放源代码
sim 存放项目的仿真文件


新建工程过程
①选择File->New Project Wizard

②点击Next

③选择工程路径及工程名称

④点击Next

⑤选择芯片

⑥设置第三方EDA工具,此处是对Quartus II软件的介绍,就直接Next

⑦整个工程的配置

2.设计输入

创建工程顶层文件
菜单栏中找到【File】→【New】,在Design Files一栏中选择Verilog HDL File,然后点击【OK】按钮。

输入代码

module flow_led( input sys_clk , //系统时钟input sys_rst_n, //系统复位,低电平有效output reg [3:0] led //4个LED灯 );//reg define
reg [23:0] counter;//*****************************************************
//** main code
//***************************************************** //计数器对系统时钟计数,计时0.2秒
always @(posedge sys_clk or negedge sys_rst_n) beginif (!sys_rst_n)counter <= 24'd0;else if (counter < 24'd1000_0000)counter <= counter + 1'b1;elsecounter <= 24'd0;
end//通过移位寄存器控制IO口的高低电平,从而改变LED的显示状态
always @(posedge sys_clk or negedge sys_rst_n) beginif (!sys_rst_n)led <= 4'b0001;else if(counter == 24'd1000_0000)led[3:0] <= {led[2:0],led[3]};elseled <= led;
endendmodule

将代码文件保存,File->Save,存放在rtl文件夹下

3.配置工程

配置双用的管脚
选择【Assignments】→【Device…】,接着点击【Device and Pin Options】按钮

左侧Category一栏中选择Dual-Purpose Pin。。对于需要使用EPCS器件的引脚时,需要将下图页面中所有的引脚都改成Use as regular IO,如果大家确定工程中是否用到EPCS器件时,可以全部修改。

4.分析与综合(编译)

工具栏中选择【Analysis & Synthesis】图标来验证语法是否正确,也可以对整个工程进行一次全编译


5.分配引脚

对工程中输入、输出端口进行管脚分配
可以在菜单栏中点击【Assignments】→【Pin Planner】或者在工具栏中点击【Pin Planner】的图标

出现了6个端口分别是4个LED、时钟和复位

配置完成后,直接关闭引脚分配窗口,软件会在工程所在位置生成一个.qsf文件

6.编译工程

在工具栏中选择【Start Compilation】图标

7.下载程序

连接设备:
将USB Blaster下载器一端连接电脑,另一端与开发板上的JTAG接口相连接;然后连接开发板电源线,并打开电源开关
下载
在工具栏上找到【Programmer】按钮或者选择菜单栏【Tools】→【Programmer】

的【Hardware Setup…】按钮,选择“USB-Blaster”(此处未连接设备,所以无法选择)

最后,选择需要下载的.sof文件,点击Start就可以开始下载


参考链接

quartus13.1附带完整的器件库
Quartus II 13.1添加器件库方法

Quartus II 13.1的安装及使用相关推荐

  1. Quartus II 13.1的安装与注册

    目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 四.Quartus II配置驱动 五.与 Modelsim 连接 参考文献 一.Quartus I ...

  2. Quartus II 13.1的安装与基础实践

    安装 参考资料: Quartus:https://blog.csdn.net/qq_43279579/article/details/115158140 Modelsim:https://blog.c ...

  3. Quartus II 13.1的下载和安装

    文章目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 参考 一.Quartus II的下载 百度网盘下载链接: https://pan.baidu. ...

  4. Quartus II 13.1(Linux版本)安装

    Quartus II 13.1(Linux版本)安装 需要文件:Quartus II 13.1-Linux安装包.Device库.pj文件 ps :最近因为需要,打算测试下在Linux系统(Ubunt ...

  5. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

  6. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  7. Quartus II 的下载及安装

    一.下载Quartus II 百度网盘下载链接: https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA 提取码:ifte 说明: 本链接来自于正点原子官方资料 ...

  8. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

  9. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  10. Quartus II 13.0sp1 (64-bit)使用教程

    本人大三在学习计算机组成原理,要用到Quartus II 13.0sp1 (64-bit),但是下载安装完以后发现不会用,世界这么大,百度也没有任何收获,啊啊啊,昨天终于会用了,所以写了这个教程,希望 ...

最新文章

  1. 实验一 linux命令使用,实验一XXXXX常用linux命令的使用(一)
  2. 2015年京东面试题
  3. iphone计算机快捷键,苹果电脑快捷键大全,最常用的都在这里了
  4. C# 使用Win32 API模拟键盘鼠标操作网页
  5. 【解析】案例4-1.5 顺序存储的二叉树的最近公共祖先问题
  6. win8卸载mysql数据库,彻底卸载win8自带的metro应用的方法
  7. 【机器学习-西瓜书】九、聚类:性能度量;距离计算
  8. win11HDMI端口无法使用怎么办 windows11HDMI端口无法使用的解决方法
  9. 上班一族“黑话”辞典大曝光
  10. 以太坊基础开发入门教程,完整入门【转】
  11. 使用 Php Artisan Tinker 来调试你的 Laravel 时间 2016-06-19 22:58:13 Laravel学院 原文 http://laravelacademy.org/
  12. todos app简单编写(web)
  13. linux中磁盘阵列说明,常用磁盘阵列说明
  14. 在自己本地原有的镜像基础上用dockerfile加一下库进去
  15. 计算摄影 | 摄像机成像原理及参数解析
  16. choco : 无法将“choco”项识别为 cmdlet、函数、脚本文件或可运行程序的名称。请检查名称的拼写,如果包括路径,请确保路径正 确,然后再试一次。
  17. 华为S5300系列交换机V100R006SPH019升级补丁
  18. 宝付正式执行“适当降低小微企业支付手续费”工作部署任务
  19. mysql更改date为ym_关于日期格式设置及转换
  20. KeyboardHolder: macOS系统的输入法切换辅助工具

热门文章

  1. 2018-09-10-整车开发流程名词解释
  2. 01【C3D 行为识别】项目下载 环境配置 数据集转化视频帧 UCF101数据集处理
  3. C++使用ODBC连接数据库遇到的问题
  4. 如何利用ECRS原则进行线平衡改善?
  5. PID控制算法的C语言实现
  6. 关于H264相关的EBSP,RBSP,SODP的说明
  7. CSDN的手机APP可以写博客了
  8. ubuntu下virtualbox安装windows7
  9. maya藤蔓插件_教你如何用Maya制作藤蔓生长路径动画
  10. python如何用xpath爬取指定内容_Python如何利用Xpath选择器爬取京东网商品信息