安装

参考资料:
Quartus:https://blog.csdn.net/qq_43279579/article/details/115158140
Modelsim:https://blog.csdn.net/qq_43279579/article/details/115176423

审核不让通过,原因是版权问题,安装过程参考上面的博客,不再赘述

遇到的问题

不知怎么回事,个人安装的时候没有安装上devices
解决办法:把最开始下载下来的文件里面的这个QDZ文件复制到一个比较熟悉的目录

然后打开tools–install devices,选择你放置qdz文件的目录

接下来安装上就可以了

实践

1.在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证



创建一个波形文件。

右键空白处,insert–symbol

选择nand2,二个输入的与非门,依次添加四个and2和一个非门not



左边放两个input,右边放两个output
然后连线

接下来编译
结果未报错




配置D,Q,-Q


Q与-Q相反


设置好后开始模拟

2.在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与1做比较;

D触发器在symbol中搜dff

简单的就接好了

编译通过

照着之前的步骤就行

模拟结果

3.Quartus-II用Verilog语言写一个D触发器

参考博客:https://blog.csdn.net/qq_40243295/article/details/82994328
new一个Verilog HDL File文件

电路定义代码部分:

module D_EF(Q,D,CLK)
input D,CLK;
output Q;
reg Q;                //在always语句中被赋值的信号要声明为reg类型寄存器定义
always @ (posedge CLK)begin Q <= D; end
endmodule

testbench代码:

module dff_tb;reg clk,data_in;wire data_out;dff u1(data_in,clk,data_out);always #5 clk=~clk;initialbeginclk=0;#20 data_in=1;#20 data_in=0;#20 data_in=1;#15 data_in=0;#15 data_in=1;end
endmodule

模拟效果:

Quartus II 13.1的安装与基础实践相关推荐

  1. Quartus II 13.1的安装与注册

    目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 四.Quartus II配置驱动 五.与 Modelsim 连接 参考文献 一.Quartus I ...

  2. Quartus II 13.1的下载和安装

    文章目录 一.Quartus II的下载 二.Quartus II的安装 三.Quartus II的注册 参考 一.Quartus II的下载 百度网盘下载链接: https://pan.baidu. ...

  3. Quartus II 13.1(Linux版本)安装

    Quartus II 13.1(Linux版本)安装 需要文件:Quartus II 13.1-Linux安装包.Device库.pj文件 ps :最近因为需要,打算测试下在Linux系统(Ubunt ...

  4. 关于Quartus II 13.0破解失败问题

    fpga环境配置问题 问题描述: 安装quartus II 13.0时,使用破解器生成licence.dat文件时,提示了大致如下内容: 提示框的标题是sys_cpt.dll. 显示问题:该文件正在使 ...

  5. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  6. Quartus II 的下载及安装

    一.下载Quartus II 百度网盘下载链接: https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA 提取码:ifte 说明: 本链接来自于正点原子官方资料 ...

  7. quartus ii 13.0下载地址

    原文地址为: quartus ii 13.0下载地址 http://download.altera.com/akdlm/software/acdsinst/13.0/156/ib_tar/Quartu ...

  8. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  9. Quartus II 13.0sp1 (64-bit)使用教程

    本人大三在学习计算机组成原理,要用到Quartus II 13.0sp1 (64-bit),但是下载安装完以后发现不会用,世界这么大,百度也没有任何收获,啊啊啊,昨天终于会用了,所以写了这个教程,希望 ...

最新文章

  1. Exchange工具11—性能监视器
  2. c语言教程常用32,几个常用运算符
  3. 正在创建系统还原点_如何使Windows在启动时自动创建系统还原点
  4. shell脚本:批量修改文件名(文件名中添加字符)
  5. Springboot 关于日期时间格式化处理方式总结
  6. 《VMware虚拟机实用宝典》繁体中文版封面
  7. 《Linux编程》上机作业 ·001【Linux命令】
  8. 如何使用VS2012进行简单程序的DEBUG(入门级)
  9. android点击按钮修改文本,如何在android中每3秒动态更改按钮文本?
  10. MATLAB 均值估计函数normfit
  11. 对作用域插槽的理解:slot-scope
  12. slice_input_producer在2.0版本里怎么用_EPrime2.0安装避坑指南
  13. 华为手机序列号前三位_华为手机序列号怎么查询?
  14. 「运维有小邓」三款性价比超高的AD域管理工具
  15. 中国互联网界有哪些风险投资、天使投资?
  16. (译)使用Go语言从零编写PoS区块链
  17. 正则表达式 不含指定字符串
  18. Datawhale组队学习NLP之transformer Task 01
  19. scanner 获取控制台信息_关于java.util.scanner:如何使用Java中的Scanner类从控制台读取输入?...
  20. MySql创建Table的时候将编码设置为utf-8

热门文章

  1. DDD单根 聚合根 实体 值对象
  2. 北京污染有救了 IBM用物联网找雾霾病因
  3. 阿里云联合易客CRM等发布金盾宣言
  4. 一键完成室内设计:哈佛学霸造出ArchiGAN,房间规划家具摆放全都有,Demo可玩...
  5. MC采样(随机投点法)——附代码
  6. 可重复使用Tab切换代码和纯js代码
  7. 中国冰鲜鸡市场经营和品牌竞争分析报告(2021-2026年)
  8. manjaro初步配置
  9. 从ERP项目中看呆滞料的管理
  10. 学习Python的14张思维导图