EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x

本帖最后由 lifree 于 2020-2-5 14:37 编辑

2 ]3 p' D% B4 \  {/ n

# V& |( A& p+ O通用串行总线USB (Universal Serial Bus)协议从1.0版本发展到现在,由于数据传输速度快,接口方便,支持热插拔等优点使USB设备被越来越多人使用,目前,市场上以USB2.0为接口的产品越来越多,而绘制符合要求的PCB板在USB设备应用中起重要作用。但在实际生产设计中,由于USB的传输速率较高,而系统中电路板上元器件的分布、高速传输布局布线等各类参数,引起高速信号的完整性缺陷的,所以由PCB设计所引起的信号完整性问题是高速数字PCB(印制电路板)生产设计者必须关心的问题。本文通过mentor信号完整性工具“Hyperlynx” 进行仿真分析,总结了一套高速电路设计提供布局布线的分析方法,串行总线以及其它高速电路的布线设计提供了理论依据。

3 ~9 K  n* H( z/ q  J1 I; g$ Y( y0 g

* ~3 ]6 c" o- n, M8 a

" n$ g! E# p$ y1 t5 P0 r1 通用串行总线

8 E( I; n# |- ?5 u' f, ^9 e- @( Q! J7 O- S* [) q. B3 m

& k. _  {* P' {+ ~; f: R* X5 R

通用串行总线(USB)技术是为了弥补传统微机外部总线的不足而设计的,随着应用的扩展,USB的传输速率不断提高,USB2.0传输速度为高速480Mb/s。

& D2 X+ u  D8 h  K0 C0 X! e9 G. U5 N: V! Y/ i. j) v

* _" _4 r% d4 h. [$ W) @

对于USB信号的传输,信号完整性是核心指标。USB总线应用差分信号传输数据,在传输过程采用NRZI编码。在上位机与USB设备的交互中,根据数据传输双工或半双工的状态不同,工作于差分态、静止态和单终端三种状态,其相应的电压或电压差也有所不同,传输协议以此判断设备速率和信号数据。3 ^2 t+ V7 j+ I% m3 C0 T

1 y2 B( {8 P3 [0 [2 U8 m2 b9 i

4 {$ Q4 {- ]2 i  v* i; F/ I# m% \在高速系统中,差分线上高速信号的压制检测阈值、断开检测阈值和共模电压也都有一定的范围要求,如表1所示。其中,共模电压典型值为200mV,另外,其差分输入信号电平必须满足高速接收眼图的要求。/ z8 P) f5 E/ C: K* w

& q8 m. L8 C: n- F& Z

+ E, Y# K9 g3 ]0 C% s

表1 高速信号的输入电平/ e- P" O9 ]$ x8 H

: A* ~1 S1 q, c/ ?6 D9 e5 r

9 p- P+ a$ P$ @, ]! P

/ o. ], K6 ^0 [7 ^4 q& M) _

6 @5 m+ G5 _7 x( v; T+ P4 s2 j0 A% z

7 W" J4 z) r8 r. m. Z  k

高频频率范围内,R和G对特性阻抗的影响很小,这种情况下,传输线的特性阻抗为一个实数,公式被简化为:, _3 h) f2 \7 P% X! c

7 J/ f1 T$ ^2 H  r2 y( _

' a* |/ [( O8 }% z; M

0 R# X  k: L* V4 u2 C1 k' ~4 W/ G6 ~8 ~5 F; I! {: I+ \

# h2 g5 E1 j$ k$ ^& @# S

此时的传播速度则为:

; G1 _5 T% P) I8 b' B( w, C$ R8 A

P1 j! u. J1 D0 r

( ?8 _- _3 w. O8 R. ]# V

特性阻抗是阻抗匹配的一个重要参数。阻抗匹配关系到信号完整性问题,如反射、振铃等参量的控制。差分对匹配一般采用两种方式:π型和T型。: r' K" y$ Z# [$ z& h3 ]' T

8 V# h: z1 a! G+ _! g7 f$ c  \m+ S0 u/ O/ j3 }' j. M+ D8 n

2.2 高速USB信号的眼图. g3 j" y8 u# f' Q, Y

/ h0 t  A" i- v+ B! X1 M7 N% z8 d9 m9 E

眼图就是由多个周期的数字信号波形叠加而形成的图形,形状与眼睛类似,因此被称为眼图。数字信号的眼图能清楚反映互连设计是否导致不能容忍的误码率。在高速串行应用中,通行的做法是采用眼图验证串行链路是否满足系统的性能要求的。# y& I0 x+ {) D$ T% G4 i9 a5 b& b

1 @, n6 o% S  M' Z. y2 n: h7 ]

6 F" {9 s2 y- i  b

对于高速USB信号的发送和接收,USB使用眼图来描述其各个位在传输时所需的电压幅值和时间安排。图1展示了高速USB系统的几个眼图测试点。其中,TP1和TP4对应USB接口芯片的相应管脚(D+和D-),它们分别被焊接在集线器和USB设备的电路板上;TP2对应A型连接器的D+和D-管脚;TP3对应B型连接器的D+和D-管脚(对于束缚电缆,其也可能是直接连接在电路板上)。

' f+ u! O5 W- `6 V2 i/ u: T# X! ]& z

* {: f) \5 G6 i0 I0 O6 k6 d5 C

1 M! p# ]- C1 R  U" F

图1 眼图测试点

( g) `! V+ Y! _& B+ F3 e. Z3 H4 N- Z& ^# P$ J: ~. U" k! H# I+ i; P

1 l" |( o2 l0 \7 E2 v

) N7 t* o2 s# OUSB定义了6种眼图模板,其中定义在集线器TP2点或在USB设备(使用非束缚电缆)TP3点处的眼图模板,表示接收高速USB信号时所需的电压分辨力,如图2所示。L. J* S9 ?8 `; K; G5 w3 P

. a$ ^; @3 V1 K$ L* ^1 L

- S+ e( q  T4 Y4 s

) e+ ~) t8 J: T5 m* h% S图2 眼图模板" `3 {7 E" f6 \

, V& i/ x# T( b5 T6 o0 C

6 O* |/ P- e1 b$ W! F( Q, l3 信号完整性(SI)仿真

@* d, g" G; T5 l: T" d- B( x0 _" w, @6 s- K9 }1 O) s

. z8 J+ K9 T9 w

利用LineSim搭建USB2.0仿真原理图,如图3所示,其中包括主机控制器和外围设备控制器,设置了从主机到外围设备使用最大允许传播延迟,模拟一个28AWG带状电缆和5米的USB电缆,以及外围设备的布线。

) e  {8 [6 `! G  Z

8 a) ?% \3 K# V图3 USB仿真结构模型

8 b3 l5 z% }6 O" N6 _, k8 j+ D; {; H7 }

( r2 n5 U; K1 y0 F- Q

# K% ~" k% J' L  ^4 j' F仿真得出差分信号的波形以及USB2.0接收端的眼图,如图4、图5所示。其图中弱的信号质量是由于带状线的阻抗不连续产生,因此,将模型结构中带状线的差分阻抗变化范围为115 ohms~92ohms。调整之后差分信号波形如图6所示,眼图如图7所示。

0 o& {, z% W0 }/ s6 L. k$ [

: {- B8 m! n/ W- z; H( [" n7 G

9 T9 \3 e: c6 T- u8 A由上图可以看出,经过调整带状线的特征阻抗,差分信号波形有了明显的改变,信号完整性问题得到了改善,眼图宽度和高度均有增大,平均上升时间、平均下降时间均减小,平均下降速率和上升速率即斜率均增大,但是就其仿真来看,所得到的结果仍和理想的结果有一段距离,继续改善模型结构图中其他相应模块的参数,最终仿真得出了满足USB2.0规范的眼图和差分信号,如图8和9所示。% }) g3 L2 K/ J* I

9 e# n( s/ W0 m3 w4 `

; S3 K) h$ e( x4 |: l. Y

9 q' d7 v2 }  h) k  J6 `: A: e+ p图4 差分信号波形/ p% S& ^- N0 G! ]4 x- A+ [

) r: W3 O4 Q8 z/ a; s4 a

) p1 S9 }, C+ Y: W3 X" b! {

1 t/ Z) l+ c% v7 }( ?' D

j0 x: ?. ^5 a9 R+ u: z: K  g+ Q- a' Y

图5 仿真结果7 S; x8 k/ e6 p- P* E

; H# U) N( b$ ~' P& y' x, O  X4 D

+ p; \; D/ J) h! M4 W% J  D& @' g6 O- J/ M4 {' O1 U

+ k& F# {, |+ c  J4 L3 I6 _1 j$ e图6 差分信号波形

$ q* f4 C, {' l$ {6 |4 s1 L9 G* B* t7 m% [7 j$ [

! B0 w0 L; P+ o. \2 Z

( ~& O* v, U! q, T( D  Q5 w

5 K+ l1 P/ I: n0 ~图7 仿真眼图结果

+ B8 A2 N: H  f$ Q, L

3 p  ]5 A# w4 i/ c

% x8 Z: ]' h8 {, W" q

3 m5 P9 d, U8 ?) l8 b" b- d  @1 o

" l- t( e5 d; v6 `3 C# T

图8 差分信号波形

* }, r3 A' I- L( J" e1 D& A$ h( D$ F  l+ w: F- n

4 t6 I) B& e" c  v7 Y$ m6 b' L8 w* q3 `! W

" u1 k$ d  ^, z. r& X& g( C" |图9 仿真眼图结果

; L' r) i# ]& T! {/ L+ d& K- i( h  s& {5 f# E

% B  ~) P7 n0 O3 S  R8 N0 I

* a+ I7 I8 {  D  ~& i" |" L# ?4 ]仿真数据结果为:, G! K7 O9 a5 P5 o

* ~" Q. s" W! s# k/ p

2 k- f3 o7 |8 W; E# i( D, S6 j5 \Peak-to-Peak Voltage:1.58V

& k9 l3 m7 Q6 M

0 D/ d% X! @* s6 o, ^) j* o  u  a, u: x

Positive Overshoot: 229.4 mV;NegativeOvershoot: 198.2 mV

/ d" F+ j. ~2 p

( F- E+ O8 ?  u" ]; @) i4 c' c

9 X2 k( _' L4 L5 aAvg fall time: 969.697ps;Avg rise time:960.398ps* H# |* J" \* {: K5 U. j* I6 h1 m

9 P, M) z) W0 c) s+ x

2 R% g: Y' j' T8 iAvg fall slew rate: 0.716 V/ns;Avg rise slewrate: 0.723 V/ns* b5 s3 h8 u5 B( f7 n! E- {

- M% ]" v, C1 a

9 L6 `8 b  ^+ T/ a4 V+ {7 P

Eye Width: 1.804ns;High level: 565.2mV;Low level: -592.2 mV

7 ?; J$ ?/ s$ J1 a

( Z+ L( z, ]4 E" k5 ^5 G$ p3 d! I! p

Eye Height: 862.6mV;High level: 565.2 mV;Low level: -592.2 mV5 R/ B- k9 ^: C4 j+ T+ ]' C

1 d: h/ @$ G' W! C/ t! y( ]" h% B9 H, ~" F$ v: }

通过以上仿真过程及结果得出:眼图的各项数据可以体现信号分析的性能指标。最主要的是通过眼的宽度、眼的高度、平均上升时间、下降时间、平均上升速率和下降速率(即斜率)这些指标能够体现信号的优劣程度。

5 ~9 b% ^7 W( J0 K# Q9 F/ B5 z( K- k$ D7 Q/ ]: }  z

: l% X; [) Z3 s* j& _" Z: @& [4 结论/ c  S: A$ \: \4 T: `  F

! h' I* \# C" Y. O% i

, s" K7 W9 O: [9 C眼图作为数字设计的参考依据,图中的眼宽、眼高、过冲、单位间隔和门限交叉抖动为重要参数依据。峰-峰值抖动=门限交叉抖动/单位间隔×100%。为了使接收器能够正确地采样数据,眼图必须满足一定的高度和宽度,其具体参数由器件的特性决定,根据眼图,可以知道实际情况是否满足系统设计。5 o! f  L3 K4 L2 C0 q6 R, i. @! B

- j3 m: ]+ j/ I  T6 C7 M8 W! Y

2 L9 T7 m; C0 a. |+ X

特性阻抗通常由PCB的层叠结构和PCB走线宽度/间距决定的,首先明确好需要实现的信号的特性阻抗,确定关键信号的走线宽度/间距,选择好板材的层叠结构,通常微带线线宽、走线的铜皮厚度、微带线到最近参考平面的距离以及PCB板材料的介电常数共同影响其特性阻抗,而影响差分线阻抗的主要参数为微带线阻抗和两根微带线的线间距。当两根微带线的线间距增加时,差分线的耦合效应减弱,差分阻抗增大;线间距减少时,差分线的耦合效应增强,差分阻抗减小。这在实际布线中的到了验证,本文总结的USB电路布线设计方法可以为高速电路设计布局布线的分析方法,串行总线以及其它高速电路的布线设计提供理论依据。

! o5 q9 l0 G* i8 f8 d- p0 y) ]& A4 v/ e% w1 Y, \

* C# G$ B) U0 S, H* C2 ~/ }: e, E

『本文转载自网络,版权归原作者所有,如有侵权请联系删除』

眼图 非差分线_利用眼图解决USB在布线中的信号完整性问题相关推荐

  1. 眼图 非差分线_TMDS181 的眼图振铃问题

    您好,非常感谢您的回复! 我详细描述一下我这边的测试情况,以方便您帮我们分析. 一.PCB情况: 我们这个产品实际使用的是DVI信号,TMDS181的输出端经过一小段PCB走线连接到DVI插座上作为产 ...

  2. 眼图 非差分线_CAN FD 眼图模板测试

    序言 眼图模板测试广泛用于当今的串行总线应用.眼图通常源于示波器所有捕获位的无限持续叠加,用以显示这些位在何时有效.眼图可以综合显示系统物理层特征的总体质量,包括幅度变化.时序不确定因素 和偶发信号异 ...

  3. 眼图 非差分线_LVDS低电压差分信号简介

    LVDS低电压差分信号简介 1. 名词解释 1.1. 背景 随着数据传输速率越来越高,现在计算机系统中的数据传输接口基本上都串行化了,像USB.PCIe.SATA.DP等等外部总线将并行总线挤压到只剩 ...

  4. python支持函数式编程吗_利用Fn.py库在Python中进行函数式编程

    尽管Python事实上并不是一门纯函数式编程语言,但它本身是一门多范型语言,并给了你足够的自由利用函数式编程的便利.函数式风格有着各种理论与实际上的好处(你可以在Python的文档中找到这个列表): ...

  5. python共享文件权限_利用Python实现在同一网络中的本地文件共享方法

    本文利用Python3启动简单的HTTP服务器,以实现在同一网络中共享本地文件. 启动HTTP服务器 打开终端,转入目标文件所在文件夹,键入以下命令: $ cd /Users/zero/Documen ...

  6. ad 原理图差分线_采用差分PulSAR ADC AD7982转换单端信号电路图

    电路功能与优势 许多应用都要求通过高分辨率.差分输入ADC来转换单端模拟信号,无论是双极性还是单极性信号.本直流耦合电路可将单端输入信号转换为差分信号,适合驱动PulSAR系列ADC中的18位.1 M ...

  7. ad 原理图差分线_再改原理图,得加钱检查原理图PCB系列教程17

    不点蓝字,我们哪来故事? 画完原理图,或多或少,都会有点错误,有错误不要紧,改改就好了,怕就怕在,找不到错在哪.本文从几个角度来讲讲如何查找原理图中的错误.     验证工程              ...

  8. osgi导出包和非导出包_了解如何解决OSGI捆绑包

    osgi导出包和非导出包 我想回顾一下OSGI包如何解决并使用Apache Karaf进行演示. Karaf是基于Apache Felix内核的功能齐全的OSGI容器,并且是Apache Servic ...

  9. access口 环路_利用STP解决二层环路、实现链路冗余-stp文件怎么打开

    STP STP是Spanning Tree Protocol 的缩写,在网络中建立一个树形结构,解决二层网络中的环路,同时也实现链路的冗余. 先看一个拓扑图 网络拓扑 PC1:10.10.10.1/2 ...

最新文章

  1. 在Linux下查看共享文件夹
  2. 静态资源java 配置文件_java web 静态资源访问配置三种方式
  3. 面向搜索的中文分词设计
  4. leetcode 473. Matchsticks to Square | 473. 火柴拼正方形(递归)
  5. Fiddler抓取https设置及其原理
  6. gravity 时序图绘制,改
  7. Canvas 画占比图 解决canvas锯齿 bug
  8. 视频压缩工具linux,Ubuntu视频转换工具-mencoder命令行参数
  9. $.ajax 简单记录
  10. WinMerge只显示差异部分的设置方法
  11. Keepfast 是前端一个性能分析工具
  12. 【Win10】【开始菜单打不开】任务栏修复
  13. flutter flutter_screenutil Looking up a deactivated widget‘s ancestor is unsafe.
  14. 关于C语言两个小游戏的提示和源码(猜词游戏与控制移动游戏)
  15. Interactive Speech and Noise Modeling for Speech Enhancement
  16. cocos渲染引擎分析(五)-----FBO实现多分辨率渲染
  17. #入坑keychron#Macbook外置机械键盘的不二之选-keychron
  18. 就是用计算机判断一个句子的语义,英语汉语词汇语义及句子结构对比
  19. 变量——整型变量 浮点型变量 字符型变量
  20. 基于Andriod的连锁药店管理系统APP-计算机毕业设计

热门文章

  1. java超时结束程序_java本机进程超时
  2. 使html表格可编辑状态,js+Html实现表格可编辑操作
  3. linux ip 访问记录,linux 精确记录用户IP以及用户操作命令
  4. php 实现 model层,Thinkhphp5控制器调用的Model层的方法总结
  5. win10子linux如何重置密码,Win10如何使用Windows PowerShell命令重置本地账户密码
  6. python问卷调查系统设计案例_从设计到施工,全面剖析超级玻璃屋面系统案例!...
  7. 获取php.ini配置信息,获得php所对应的配置文件(php.ini)信息
  8. linux 进程调度源码分析,Linux调度器源码分析
  9. 鸿蒙唯独没有手机,想用鸿蒙OS,却没有华为手机?华为高层:还有1亿台设备可体验...
  10. android手机浏览器研究报告,QQ浏览器Android客户端产品体验报告