在许多的网页中,我们都会看到计数器以及时钟,那么我们怎么自己实现着种功能呢?

先说计数器,计数器的逻辑功能很简单,就是秒针每秒加一,逢60进一就可以。代码如下:

<!DOCTYPE html>
<html><head><meta charset="utf-8" /><title></title></head><body><div></div><script>var index = 0;var i=0;/*** 对时间进行预先处理,逢60进一*/function counter(){second = index;minute=i;index++;if(second==60){second=0;i++;index=0;}if(second<10){second = "0"+second;}if(minute<10){minute="0"+minute;}return time = minute +":"+second;}/*** 将获得的时间插入到div的区域*/function show(){var time = counter();document.getElementsByTagName("div")[0].innerHTML=time;}/*** 每秒钟获得一次时间,实现计数功能*/function set(){setInterval("show()",1000);}show();set();</script></body>
</html>

这样,一个简单的计数器就完成了。

时钟功能的代码:

<!DOCTYPE html>
<html><head><meta charset="UTF-8"><title></title><script>/*** 向Date类中添加获取当前时间的方法*/Date.prototype.currentTime = function(){var year = this.getFullYear();var month = this.getMonth()+1;var day = this.getDate();var week = this.getDay();week = "星期"+"日一二三四五六".charAt(week);month = month<10 ? "0"+month : month;day = day < 10 ? "0"+day : day;var hour = this.getHours();var second = this.getSeconds();var minute = this.getMinutes();hour = hour<10 ? "0"+hour : hour;second = second < 10 ? "0"+second : second;minute = minute < 10 ? "0"+minute : minute;return year+"-"+month+"-"+day+" "+week+" "+hour+":"+minute+":"+second;}function showTime(){var time = new Date().currentTime();document.getElementById("show").innerHTML = time;}function setTime(){showTime();setInterval("showTime()",1000);}window.onload = function(){setTime();}</script></head><body><span id="show"></span></body>
</html>

这样,时钟就完成了!

用HTML实现计数器功能相关推荐

  1. mysql点击计数器_MySql计数器,如网站点击数,如何实现高性能高并发的计数器功能...

    MySql计数器,如网站点击数,如何实现高性能高并发的计数器功能 Clicks: 5338 Date: 2014-03-29 23:30:42 Power By 李轩Lane TagMysql计数器高 ...

  2. 实现74LVC161的计数器功能

    VerilogHDL程序设计与仿真作业8: --实现74LVC161的计数器功能 文章目录 VerilogHDL程序设计与仿真作业8: --实现74LVC161的计数器功能 一.实验目的 二.实现74 ...

  3. PLC实验:定时器/计数器功能实验

    实验项目名称:定时器/计数器功能实验 一.实验控制要求 1.延时启动程序 按下按钮 I0.0,指示灯 Q0.0 延时 6 秒后启动,按下停止按钮 I0.1,指示灯立即熄灭. 2.闪烁程序 按下启动按钮 ...

  4. php如何设置计数器,PHP语言之php实现计数器功能

    本文主要向大家介绍了PHP语言之php实现计数器功能,通过具体的内容向大家展示,希望对大家学习php语言有所帮助. '' /** * *  计数器(v1.1.0) * *  Version : 1.1 ...

  5. Android studio实现计数器功能

    Android studio实现计数器功能 我们使用原生计时器CountDownTimer 1.首先自己建立一个布局TextView------(countdown我自己定义的名字)可以显示文字 2. ...

  6. redis mysql 原子计数器_使用redis的increment()方法实现计数器功能案例

    一直知道redis可以用来实现计数器功能,但是之前没有实际使用过,昨天碰到一个需求:用户扫码当天达到20次即提示:当日扫码次数达到上限! 当时就想到使用redis的递增方法increment()来实现 ...

  7. 基本指令的编程练习-PLC认识实验-定时器/计数器功能实验

    微信公众号:创享日记 发送关键词:plc1 免费获取完整无水印实验报告 [PLC认识实验] 一.实验目的 1.了解PLC软硬件结构及系统组成; 2.掌握PLC外围直流控制及负载线路的接法及上位计算机与 ...

  8. 使用Verilog实现FPGA计数器功能

    本人地大14级师兄,如果有学弟学妹搜到这个评论一个呗! 一.设计要求 编写VerilogHDL程序,实现如下功能: 利用开发板上的数码显示译码器设计一个十进制计数器,要求该计数器具有以下功能: 1.计 ...

  9. 单片机计数器实验代码c语言,单片机计数器功能实验程序

    一.实验要求 8031内部定时计数器,按计数器模式和方式1工作,对P3.4(T0)引脚进行计数.使用8031的T1作定时器,50ms中断一次,看T0内每0.50ms来了多少脉冲,将其数值按二进制数在7 ...

最新文章

  1. jpa遇到的 org.hibernate.PersistentObjectException: detached entity passed to persist异常
  2. FPGA加速BCNN,模型20倍剪枝率、边缘设备超5000帧/秒推理吞吐量
  3. java创建配置文件_java – 如何创建紧凑的配置文件
  4. NOI2004郁闷的出纳员
  5. linux组成,Linux学习笔记之Linux组成及初识
  6. MVVM及MVVMLight相关资料
  7. UVA10025 The ? 1 ? 2 ? ... ? n = k problem【数学规律】
  8. R语言空间插值的几种方法及案例应用
  9. swoole2.0与java_swoole2.0试用说明
  10. 爱好-超级IP:超级IP
  11. python黑马教程ppt_Python零基础教程下载|黑马Python零基础教程(附带教学课件 开发工具 环境配置下载 - 极光下载站...
  12. ESP8266-Arduino编程实例-L3GD20三轴角速率传感器驱动
  13. 赛天网吧无盘服务器,200台MZD无盘网吧解决方案.docx
  14. 2020年9月六级翻译题目:西游记
  15. 手机OTG 我的世界_手机触摸失灵不更换屏幕,如何把手机里面的资料导出来,值得收藏...
  16. $F $ 等符号的意思
  17. 智禾教育:现在入局电商行业算晚吗,行业前景将会如何发展
  18. Silverlight 教程第二部分:使用布局管理 (木野狐译)
  19. 多重网格法(multigrid)求解1d泊松方程--python
  20. java上传文件至阿里云oss工具类

热门文章

  1. Jmeter使用教学
  2. Map 和 ForEach 的区别
  3. 卡塔兰数用于求解不同形态的二叉树的数目,题目选自CS61A2021 LAB9 Q3: Number of Trees
  4. Java并发编程之Exchanger
  5. c语言中ch的作用,C语言中IN(ch,OP)是什么意思
  6. Java中多线程、多线程的实现方式、同步代码块的方式
  7. python应聘项目经历怎么写_简历中怎么写「项目经历」最好?为什么?
  8. RESTful接口介绍与实现
  9. Long Short Term Memory networks(LSTMs)
  10. html5支持ajax和jQuery吗,使用HTML5文件上传与AJAX和jQuery(Using HTML5 file uploads with AJAX and jQuery)...