数电实验 彩灯控制器设计

层次设计教学:https://blog.csdn.net/m0_37652453/article/details/105326243

module yyc2018113559_2_1(clk,en,Q); //六进制计数器
input clk,en;     //clk为时钟
output reg[2:0] Q;
always@(posedge clk)
beginif(en==1'b1)     //en等于1时计数beginif(Q<3'd5)    //小于5就加1Q<=Q+1'b1;else           //大于5进1位Q<=0;endelse         //en为0时Q<=Q;
end
endmodule
module yyc2018113559_2_2(X,bits);   //译码器,实现同时间数码管外围依次点亮
input[2:0] X;                                          //输入X从000到101,共6位
output reg[5:0] bits;                             //输出实现每段只亮一次always@(X)
begincase(X)                               //同时刻只亮一段,其余熄灭3'd0:bits=6'b00_0001;3'd1:bits=6'b00_0010;3'd2:bits=6'b00_0100;3'd3:bits=6'b00_1000;3'd4:bits=6'b01_0000;3'd5:bits=6'b10_0000;default:bits=6'b00_0000;endcase
end
endmodule

数电实验 彩灯控制器设计相关推荐

  1. 数电实验 数字电子钟设计 基于quartus 实现计时校时闹钟秒表稍复杂音频 分享电路图设计以及工程文件

    数字电子钟设计 本文主要完成数字电子钟的以下功能 1.计时功能(24小时) 2.秒表功能(一个按键实现开始暂停,另一个按键实现清零功能) 3.闹钟功能(设置闹钟以及到时响10秒) 4.校时功能 5.其 ...

  2. 数电实验4:彩灯控制器设计

    数电实验4:彩灯控制器设计 一.实验目的 二.实验内容 三.预习要求 四.实验报告要求 五.Verilog代码.RTL视图及仿真波形 1.Verilog代码 2.RTL视图 3.仿真波形 西南交大数电 ...

  3. 数电实验(一)利用与非门设计四舍五入判别电路

    数电实验(一)利用与非门设计四舍五入判别电路 要求: 1.输入为8421BCD码,接四个逻辑电平开关,同时接数码管. 2.输出和LED相连. 一.写出逻辑函数: F(A,B,C,D)=∑m(5,6,7 ...

  4. 数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路

    数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路 要求: 设计一个表决电路, 当控制端M=0时,输入端A.B.C一致同意时,输出F为1,否则输出为0:当控制端M=1时,输入端A ...

  5. 数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器

    数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器 要求: 1.输入为两个4位二进制数,分别接四个逻辑电平开关,同时接数码管 2. 输出和LED相连 Multisim仿真:

  6. [数电实验]外星萤火虫设计

    [数电实验]外星萤火虫设计 文章目录 [数电实验]外星萤火虫设计 题目描述 电路要求 题目分析 解决方案 代码 仿真 题目描述 在遥远的 Mars星上有一种类似地球萤火虫的生物 星上有一种类似地球萤火 ...

  7. 数电实验6:可控分频器设计

    数电实验6:可控分频器设计 一.实验目的 二.基本实验内容 三.提高性实验内容(选做) 四.预习实验 五.实验报告要求 六.内容讲解(基础实验内容) 七.testbench及仿真结果 1.testbe ...

  8. 北邮数电实验三接球小游戏

    文章目录 前言 一.实验要求 二.设计思路 三.设计系统框图 四.源代码 五.遇到的问题和解决办法 六.总结 前言 北邮数电实验三"接球小游戏" 数电实验验收已经结束了,实验报告也 ...

  9. 数电实验报告实验一_大二下学期的两三事之数电实验amp;课设

    数电实验&课设 叮 写在前面 这学期的数电实验老师是陈W老师.上学期的模电,选过一次他的课,线下教学,老师讲课游刃自如,点到为止,但又醍醐灌顶:这学期线上教学,可能是软件运用不熟练,他多少有些 ...

  10. 【数电实验7】Verilog—外星萤火虫

    [2022.05西南交大数电实验] [本代码及波形已通过老师验收.仅供参考.] [参考博客:[数电实验]外星萤火虫设计_难凉oh的博客-CSDN博客] [建议:有些口语化的注释看完删掉比较好哈,怕老师 ...

最新文章

  1. 用nagios检测内存
  2. Android 64K解决办法
  3. 小卡片遇热就变机器人,不插电就能运动,哈佛加州理工新研究登上Nature子刊...
  4. 信息系统项目管理师长篇备考经验
  5. java 字节序列_java – 这个线程安全的字节序列生成器有什么问题?
  6. voip和rtc_为什么开发WebRTC与VoIP开发不一样?(上)
  7. 年底各类大型年会晚会必用的高清丝绸海报设计背景来喽!
  8. w10自动删除文件怎么关了_回收站删除的文件怎么恢复?
  9. python tkinter 基本用法
  10. 「移动开发」iuap mobile玩转前端自动化构建
  11. WIN10 如何修改 文件后缀名 设置后缀名可修改
  12. iphone导出照片到电脑_苹果手机照片删除了怎么恢复?简单方法图文教程
  13. Android 文件管理器 Android文件管理器源代码
  14. PyCharm导入selenium的webdirver模块出错
  15. Sqlserver-循环执行sql语句
  16. Apache web服务器 的下载安装
  17. 计算机x线平扫对人健康有影响吗,X线检查-医学影像频道-家庭医生在线 第16页...
  18. 多元统计分析笔记二——多元分布
  19. php农历生日计算,php实现的农历算法实例
  20. xshell 免费版申请

热门文章

  1. Python+OpenCV实现车牌检测与识别
  2. 为何你就是那个求职困难户?
  3. 【保姆级教学】Landsat遥感影像下载
  4. 常用的高光谱遥感影像数据集(详细介绍+下载链接)
  5. 自动驾驶-激光雷达预处理/特征提取
  6. PS学习笔记--去掉图片上不想要的部分
  7. js读取服务器excel文件是否存在,js读取Excel文件
  8. 四阶龙格库塔算法及matlab代码
  9. 使用Java快速实现进度条
  10. 微信小程序:动画效果集合