文章目录

  • 前言
  • 一、建工程(.qpf文件)
  • 二、新建Verilog HDL(.v文件)
  • 三、新建原理图(.bdf文件)
  • 四、新建SignalTap(.stp文件)
  • 五、SignalTap仿真结果
  • 总结

前言

本文的主要内容是Quartus II下SignalTap仿真,下面将通过一个例子来介绍。


例子:

设计一个计数器,当计数值为0-8时,OV输出为0,当计数值为9-17时,OV输出1。


一、建工程(.qpf文件)

建工程可参见:Quartus II从建工程、绘图、编译到仿真详细过程。
注意这里的芯片不要选错。


二、新建Verilog HDL(.v文件)

这里要注意模块的名称不要和工程名重复,否则编译时会报错。
Verilog代码如下:

module counter08_917( CLK, CNTVAL, OV ); //这里的名字不要和起的工程名重复
input CLK;
output [5-1:0] CNTVAL;
output OV;
reg [5-1:0] CNTVAL;
reg OV;
always @ (posedge CLK) beginif(CNTVAL >= 17)CNTVAL <= 0;elseCNTVAL <= CNTVAL + 1'b1;
end
always @ (CNTVAL) beginif(CNTVAL >= 9)OV = 1'b1;elseOV = 1'b0;
end
endmodule

写好代码后确保没有错误,不用编译,直接右击文件,选择Create Symbol Files for Current File,等待生成Symbol即可。


三、新建原理图(.bdf文件)

双击空白处,弹出如下窗口,在Libraries下的Project中选择上面Verilog文件生成的Symbol,添加到原理图中。

在Name处输入自己想要添加的器件名称,例如input/output等,画完原理图如下。

分配管脚可以参见:Quartus II连接开发板后从绘图、分配管脚、编译到下载的过程。

到这里就可以先编译一下,应该没什么问题。

可以在Tools下查看RTL视图。

RTL视图如下。

双击后可查看内部结构。


四、新建SignalTap(.stp文件)

在File——>New下选择SignalTap II Logic Analyzer File。

新建完成后,先把SignalTap文件File——>save as保存到自己的工程下。

然后在Clock处选择自己原理图中的时钟信号。


添加输出OUT和OV信号,注意Filter的选择。

添加成功后如下图。

完成后再总体编译一下。

然后将其下载到开发板上。


五、SignalTap仿真结果

在仿真时,SignalTap窗口下的JTAG那里要选择电脑识别出来的开发板接口。

SignalTap仿真的结果如下图所示,由于OUT是16进制数,所以可以看到在00h-08h时OV输出为0,在09h-11h时OV输出为1,这符合我们的题目要求。

下面是vector waveform file波形仿真的仿真结果,可以发现SignalTap的仿真结果更加的平稳,没有毛刺。


总结

以上就是Quartus II下SignalTap仿真的全部内容了,大家可以在实践时自己体会其与vector waveform file波形仿真的差别。

Quartus II下进行SignalTap仿真相关推荐

  1. Quartus II与ModelSim联合仿真

    Quartus II与ModelSim联合仿真 目录 Quartus II与ModelSim联合仿真 一.创建新工程 二.创建新文件 三.配置ModelSim的路径 四.关联Test Bench文件 ...

  2. FPGA基础知识18(在Quartus II下产生无源代码网表设计文件方法 QXP VQM 加密文件)

    需求说明:IC设计基础 内容       :代码保密设计 来自       :时间的诗 原文:http://blog.csdn.net/da895/article/details/7948318 在Q ...

  3. Quartus II与 ModelSim功能仿真与后仿真扫盲(转)

    时间:2007-04-20   作者:yangyh80@21cn.com 本文主要描述了如何在QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿 ...

  4. Quartus II工具1——signaltap

    工作的原因,开始真正的去用signaltap,以前在校时,也就是随便玩玩,看看波形,找找感觉,把示波器搬到电脑上. 前几天开始要用的时候,才发现基本上参数都不懂,当时那种似懂非懂的感觉非常不爽.所以开 ...

  5. Quartus II 13.0波形仿真

    先放结论:Quartus II 13.0有自带的仿真工具,能实现波形仿真. 之前一直找不到关于Quartus II 13.0的波形仿真,然后百度的都是说quartus ii 9.0之后的版本就没有这个 ...

  6. Quartus II 中的SignalTap II 的使用

    首先使用Signal Tap II的条件是你的资源空间还有很大,而且使用完后要把他进行手动屏蔽,以避免占用内存. 1.把顶层文件全编译一下(任何的顶层文件都可以,只要有你想要的信号). 2.打开TOO ...

  7. 【Quartus II 17.0 VWF仿真设置】

    一.介绍 由于Quartus II较高的版本取消了自带的仿真器(9.0版本仍可使用),所以必须要下载一个第三方仿真软件. 此处我下载的是Modelsim软件.(使用较广,可自行搜索下载) 因此,此处主 ...

  8. 【Chips】如何启动第一个Quartus/Vivado下的Verilog仿真过程

    1 如何让Quartus和Modelsim实现联动仿真 Quartus中新建一个工程,注意,Simulator设置为Modelsim. 如果你的工程已经建好了,可以通过[Assinment -> ...

  9. Quartus II调用modelsim无缝仿真

    本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具  as ...

  10. Quartus II 与ModelSim-SE联合仿真Shift_ram

    原理介绍 The ALTSHIFT_TAPS IP core supports single-bit and multiple-bit data shifting at one clock cycle ...

最新文章

  1. nginx安装 问题 1
  2. django不修改数据库创外键_Django——model(建表,增删改查,外键,多对多)
  3. linux安装无法添加挂载,linux-无法在已挂载/ etc / passwd和/ etc / shadow的docker容器中添加新用户...
  4. python dll文件丢失_python34.dll
  5. Servlet ---- cookie session
  6. Intellij IDEA 通过数据库表逆向生成带注释的实体类文件超级详细步骤,附详细解决方案
  7. 201409-1-相邻数对
  8. Mac版微信重大更新!来刷刷朋友圈!摸鱼党福利
  9. Node之HTTPS客户端
  10. javascript DOM 操作基础知识小结
  11. 无线路由器桥接——手机连不上网
  12. 新手小白零基础,该怎样学习编程呢?
  13. linux 内存管理(8) —内存描述符(mm_struct)
  14. 深入了解 Animation Scripting 动画脚本
  15. 提取图像中颜色交界处的坐标
  16. Windows脚本 - Bat批处理命令使用教程
  17. 知识图谱综述思维导图
  18. SpringBoot Security的oauth2四种授权模式使用
  19. LBM方法中的绝热边界实现形式个人总结
  20. 金蝶云单据下推,转换规则

热门文章

  1. 做Meta分析要用哪些软件?Meta分析软件盘点,含软件安装包!
  2. 工具类App原型制作分享-WizNote
  3. 计算机学报Latex模板运行出错解决
  4. 桌游跑团用roll点器,可以自己设置色字的数量和种类
  5. 离均差oracle 函数,Oracle的学习详解(一)
  6. 2021-08-10基于人脸识别的学生签到系统
  7. [译]Selenium —— 怎样使用FireBug和FirePath
  8. 星露谷服务器上没有空闲位置,星露谷 我在社区中心背面藏了些东西 | 手游网游页游攻略大全...
  9. 语音测试,串口和adb
  10. 产品需求文档(PRD,Product Requirement Document)模板