在使用modelsim进行仿真时,对于一些很耗时间的仿真,可以保存仿真波形结果,下次可以直接打开查看。

1 保存波形

1.1 图形界面方式

第1步 保存dataset sim

  1. 打开sim窗口
  2. file->save dataset sim 或 按ctrl+s 保存.wlf文件

第2步 保存波形

  1. 打开Wave窗口
  2. file->save Format 或 按ctrl+s 保存.do文件

1.2 命令脚本方式

dataset save [dataset名称,一般为sim] [文件路径]/[文件名].wlf
write format wave [文件路径]/xxx.do

wlf文件保存了运行的结果,do文件则是添加波形,设置格式等命令,有了这两个文件就可以还原波形。

2 打开波形

2.1 图形界面

  1. 打开Modelsim软件。

  2. file->open ,分类选择all file,然后打开保存的.wlf文件。或者在Transcript窗口输入vsim [文件名].wlf

  3. file->load->Macro file, 然后打开保存的.do文件。或者在Transcript窗口输入do [文件名].do

因为Library已经没有源文件了,所以只能查看,不能再次仿真。

2.2 命令脚本

dataset open [文件路径]/[文件名].wlf
do [文件路径]/xxx.do

Modelsim保存与打开仿真波形的方式相关推荐

  1. 怎样使用Debussy+ModelSim快速查看前仿真波形

    引子:ModelSim是HDL仿真软件,Debussy是波形查看软件:搭配使用,相当爽.此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此.两款软件的功能都很强大,请自行研究. 注:本篇博文的软 ...

  2. modelsim中仿真波形设置的保存

    为了方便以后察看仿真结果,可以将仿真波形保存在.Wlf文件中: 一.保存过程: 1.完成仿真波形 2.切换到sim视窗 3.点击保存图标,可以直接保存为.wlf文件 二.打开过程 1.打开models ...

  3. 利用FPGA仿真软件modelsim仿真波形显示图片

    之前参考过几篇博文介绍如何使用仿真软件(比如modelsim)产生字符效果波形的,但是发现在进行具体修改时还是挺麻烦的,且无法产生图片这种更加直观的效果. 所以本次打算重新设计代码,升级为显示效果更好 ...

  4. vivado 保存仿真波形

    软件版本:vivado2018.3 PC:win10 如果仿真时间长,或者在仿真波形上进行了一些设计.可以直接把波形文件保存下来,这样下次直接打开仿真文件就可以看到原先的波形,而不需要从新仿真. 一. ...

  5. 使用Vivado保存仿真波形数据并读取

    1.1 使用Vivado保存波形数据并读取 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)使用Vivado保存仿真波形数据并读取: 5)结束语. 1.1.2 本节引言 ...

  6. ModelSim之命令行仿真入门 (step 2)

    简单得modelsim命令行仿真 PART ONE ModelSim之命令行仿真入门 用do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便. 1 ...

  7. 基于Quartus II+ModelSim SE的后仿真(Verilog版)

    基于Quartus II+ModelSim SE的后仿真(Verilog版) 一.Quartus 中的相关设置 在Quartus中建立名为counter的工程,设置仿真工具为ModelSim(Veri ...

  8. quartusii 使用ModelSim do文件实现仿真(Verilog)

    使用ModelSim do文件实现仿真(Verilog) QuartusII从9.1之后的版本都已经取消了内部自带的仿真器,都需要借助第三方仿真软件比如Modelsim才能实现仿真.一般在进行代码编写 ...

  9. Modelsim与debussy联合仿真

    Modelsim与debussy联合仿真 modelsim是很好的波形查看工具,而debussy查看代码就非常方便.两种工具相结合各取其长处,对fpga代码的编写和仿真就非常方便,极大提好效率. 步骤 ...

最新文章

  1. go channel 缓冲区最大限制_GO语言圣经学习笔记(八)Goroutines和Channels
  2. js空对象undefined
  3. 出现了奇数次的数字的算法
  4. 保持生长不焦虑,非科班程序媛的进击
  5. python爬虫:其他操作
  6. 黑客大佬:我是如何让50个文件一起骗过AI安防系统的?
  7. 论文浅尝 | 基于知识图谱嵌入的 Bootstrapping 实体对齐方法
  8. java 获取td_[Java教程]jQuery获取table表中的td标签
  9. U盘安装centos 7 提示 “Warning: /dev/root does not exist, could not boot” 解决办法
  10. 多边形交叉区域计算面积_用什么算法来找到多边形与圆之间的交叉区域?
  11. 纯css实现div中未知尺寸图片的垂直居中
  12. 水很深的深度学习-Task01深度学习概述与数学基础
  13. vsftpd 配置-掉坑记
  14. 灵魂拷问:你写的SQL一般有几个join ?​
  15. Matlab 简单图像分割实战
  16. JavaWeb中的表单提交和超链接请求传递参数
  17. 三星s8怎么分屏操作_三星Galaxy Z Fold2帮你应对快节奏生活
  18. 空气净化器的研究概况
  19. 微信小程序不能下拉刷新
  20. 数据分析 | 岭回归与LASSO回归

热门文章

  1. caxa齿轮零件图_利用CAXA在CATIA中绘制渐开线齿轮
  2. 文章单页-推广落地页在线制作-自动生成
  3. 如何正确对待通用安全漏洞评分系统(CVSS)
  4. 华为LTC销售流程变革经验分享:流程管理的评估指标和评估方法--华为BPR专家许浩明老师分享
  5. OBD 诊断与 UDS 诊断有什么区别?
  6. python协程系列(三)——yield from原理详解
  7. 3D MAX制作游戏高端人物模型
  8. 微信搜一搜正在用的新一代海量数据搜索引擎 TurboSearch 是什么来头?
  9. 使用颜色选择器Flexi .colorPicker教程/简介
  10. js 实现日历的核心算法