为了方便以后察看仿真结果,可以将仿真波形保存在.Wlf文件中:

一.保存过程:

1.完成仿真波形

2.切换到sim视窗

3.点击保存图标,可以直接保存为.wlf文件

二.打开过程

1.打开modelsim

2 file菜单打开.wlf文件(要在文件类型中选择.wlf类型)

3.确定之后出现sim视窗

4.选中窗口中的测试文件名右击————add wave即可在wave窗口打开该测试坡形。

三.也可以将波形保存为.do文件

do文件记录了仿真的过程和加载的各种库.

do文件的保存过程:

file——>save format——>D:/modeltech_6.5b/examples/wave.do 可以更改do文件名称和存放目录

2.do文件的加载:

file——>load(注意要在sim视窗下)。打开之后貌似只显示出仿真过程代码,没法显示波形。

modelsim保存仿真结果,以及打开保存的仿真结果的波形wlf文件(经过实验,真实可用)

1、在wave界面,将仿真波形保存为 .do文件(信号列表文件)。

2、切换左边任务栏至“sim”,,点击保存,给将要保存的 .wlf文件(波形文件)命名为自己想要的名字,默认为vsim.wlf。

(wlf文件为modelsim的wave log file文件格式)

3、保存,OK,关闭modelsim(注意,必须关闭modelsim,否则打开wlf文件的时候,会提示未正常关闭,无法打开)。

4、重启modelsim,open 之前保存的 .wlf文件,然后 load 对应的 .do文件。

也可以使用命令行的格式打开此波形文件,举例如下:vsim -view wave.wlf -do run.do。

modelsim中仿真波形设置的保存相关推荐

  1. Vivado调用Modelsim默认仿真条件设置

    Vivado调用Modelsim默认仿真条件设置 你得先会这个 常规设定Vivado调用Modelsim进行仿真的流程,就不多说,网上的帖子一大堆. 放心,推荐的链接安排上,使用的是Vivado201 ...

  2. 【以前】ModelSim中仿真Xilinx IP核

    先对题目进行说明:ModelSim本身是一个独立的仿真环境,不需要依赖其他的软件.这里所说的" ModelSim仿真XilinxIP核"是指单独运行ModelSim 进行仿真,而不 ...

  3. Lattice Diamond与modelsim联合仿真环境设置

    Lattice FPGA开发环境在仿真时可以使用modelsim,相比于Diamond自带的Active-HDL仿真工具,具有更好的交互性以及更便捷的可操作性.与其他FPGA的开发环境一样,需要设置联 ...

  4. ISE与Modelsim联合仿真关联设置

    1.modelsim.ini内容复制与粘贴: 在ISE安装路径:"C:\Xilinx\14.7\ISE_DS\ISE",找到modelsim.ini,如下图: 使用Notepad+ ...

  5. 如何在modelsim中保存波形以便下次使用 指定时间段内的仿真波形

    之前在网上搜了好久如何将modelsim中的波形保存起来以便以后使用,因为有的时候仿真实在太花时间了,仿真了几十分钟的波形说没就没了.最后查了一下官方的文档,找到了一篇saving waveforms ...

  6. ModelSim中Altera仿真库的添加(转)

    原文链接:http://www.cnblogs.com/asus119/archive/2011/04/01/2001774.html 最近,做一个IP核的调试,但是里面调用了Altera的syncr ...

  7. [转载]Modelsim中信号部分的组合

    Modelsim中信号部分的组合 已有 361 次阅读 2014-4-25 22:17 |系统分类:科研笔记 1)do wave.do 在ISE中联合使用modelsim中仿真时,经常要修改了ISE里 ...

  8. vivado和modelsim联合仿真实现偶分频

    首先创建一个工程,因为不在硬件上实现所以芯片型号随便选一个就行 创建design文件div6.v,代码来源于以下视频,稍作修改. 在复位时给输出信号clk6赋值为0,不然输出不确定 判断条件cnt为1 ...

  9. Modelsim保存与打开仿真波形的方式

    在使用modelsim进行仿真时,对于一些很耗时间的仿真,可以保存仿真波形结果,下次可以直接打开查看. 1 保存波形 1.1 图形界面方式 第1步 保存dataset sim 打开sim窗口 file ...

最新文章

  1. Powershell Module for Netapp Data Ontap
  2. ORACLE强大的令人发指
  3. Spring事务管理器分类
  4. C#实现发送邮件的三种方法
  5. Python:递归输出斐波那契数列
  6. 百度地图API实现地图应用
  7. oracle 怎么附加数据库,Oracle 如何附加数据库
  8. 将asp.net1.1的应用程序升级到asp.net2.0的一点心得
  9. 创建django项目,8月版本
  10. echart高级使用_Vue:在Vue中使用echarts
  11. Spring Data JPA 梳理 - JPA是什么
  12. 开源的物联网技术平台thingsboard安装测试及使用步骤
  13. 软件测试培训两个月靠谱吗?
  14. 3D标签云效果的实现
  15. 01-oracle账号的注册
  16. 用自己的数据集训练C3D和tensorboard的使用
  17. java获取图片相对路径_相对路径和绝对路径的区别,java获取项目访问路径的方法...
  18. 与电容有关的几个经典电路--微分、积分、采样与电荷泵
  19. 力扣 547. 朋友圈 c语言 三种解法 深搜 广搜 并查集。
  20. 中文维基百科的语料库下载和繁体转换为简体的操作

热门文章

  1. 2021-春季学习-智能车技术创新与实践(90)
  2. 第十五届全国大学生智能车全国总决赛获奖信息-华北赛区
  3. BH38-Z06单精度绝对值旋转编码器数据手册
  4. PI=3.1415926....
  5. WAV文件中使用有符合整形数
  6. linux c 获取文件权限,如何在C / C++中以编程方式读取Linux文件权限
  7. 苏大微型计算机原理与应用题库,苏大单片机原理及应用试卷
  8. python pandas for循环_高逼格使用Pandas加速代码,向for循环说拜拜!
  9. python中findroot_python文件查找之find命令
  10. 高速串行总线设计基础(八)揭秘SERDES高速面纱之CML电平标准与预加重技术