38线译码器74hc138,具有三个地址输入(P2的高3位),八个输出,且输出为低电平

真值表为(图片为转载)

电路图为

我们可以用74hc138来选通74hc573,而74hc573控制数码管(段选和位选),led的点亮

,蜂鸣器和继电器

可以通过以下代码来选通74hc573

void init74hc138(unsigned char cha)
{switch(cha){case 4: P2 = (P2 & 0x1f) | 0x80 ;break;   //开led 的锁存器case 5: P2 = (P2 & 0x1f) | 0xA0 ;break;   //开蜂鸣器和继电器 的锁存器case 6: P2 = (P2 & 0x1f) | 0xC0 ;break;   //数码管段选case 7: P2 = (P2 & 0x1f) | 0xE0 ;break;   //数码管位选default:break;}}

蓝桥杯, 38线译码器74Hc138相关推荐

  1. FPGA设计编程(二) 8-3线优先编码器与3-8线译码器

    目录 [实验要求] [实验软件工具] [实验一]设计一个8-3线优先编码器(74LS148) 1. 实验内容与原理说明 2. 实验模块程序代码和激励代码 (1)设计模块代码 (2)激励模块代码 3. ...

  2. quartus仿真23:3-8线译码器74138的简单应用

    存储器寻址 若干8KB的的存储器,实现64KB内存空间,完成寻址电路的设计 1KB=1024=2^10 8KB=8*1KB=2^13 64KB=8*8KB=2^16 总共需要16位地址码,存储器使能端 ...

  3. 20220407——组合逻辑电路 3-8线译码器

    译码器:扩展控制 输入3位二进制,输出为8个二进制位         A2 A1 A0 ,   Y0 Y1 Y2...Y8 被选中为低电位0   片选端.使能端,控制信号,是否被激活 译码器还具有两个 ...

  4. 20220407——3-8线译码器:quartersⅡ和 modelsim 联合仿真 实验操作

    创建工程 2.选择芯片 3. simulation→modelsim→verilog hdl 4. file→new→Verilog hdl file 5.写代码.编译 6.processing→st ...

  5. 20220407——3-8线译码器:quartersⅡ和 modelsim 联合仿真 原理

    Testbench仿真文件编写 Testbench是一种验证手段,从软件层面对设计的硬件电路进行仿真.在仿真文件里产生的激励信号,作用于被仿真设计文件DUT(Design Under Test) ,产 ...

  6. 用Quartus实现2-4线,3-8线,4-16线译码器及控制七段数码管

    基本步骤 1.创建工程和文件(顶层BDF,还有一个virlog HDL 文件) 牢记工程名与顶层文件名相同,不然会出现can't find design entitle,从而编译时出现错误. 2.创建 ...

  7. 实现74X138和用74X138和74X139构成5-32线译码器

    VerilogHDL程序设计与仿真作业2: --实现74X138和用74X138和74X139构成5-32线译码器 文章目录 VerilogHDL程序设计与仿真作业2: --实现74X138和用74X ...

  8. 蓝桥杯单片机基础学习00_1

    一.基础准备 1.烧录软件 (1)单片机型号:IAP15F2K61S2 (2)输入用户程序运行时的IRC频率:11.0592MHz 2.CT107D单片机综合实训平台 CT107D:单片机芯片(全I/ ...

  9. Python冲击省一蓝桥杯 DFS集锦

    距离蓝桥杯38天 话不多说 直入主题 耐心看完 一定会对你有所帮助 有什么不懂的随时可以私信小郑 深搜虽然很难 但总要面对 如果总是逃避 那就很难进步! 下面呈现的内容将以题目来源+题目分析+代码+知 ...

最新文章

  1. 在UE4中创建CG动画 How to create a movie in Unreal Engine 4 using Metahuman
  2. windows10 中 python3 离线 安装包,没有 网络 的 情况下 安装 whl包
  3. 飞思卡尔烧写工具mfgtools的使用
  4. CentOS的改变系统启动级别
  5. 困了。还得背课文。变词型
  6. 152. 乘积最大子数组
  7. 【层次聚类】python scipy实现
  8. Python 如何拆分数据集
  9. 2020年百度之星 程序设计大赛 初赛一
  10. 入侵WIN2003 PHP服务器的另类技术
  11. amd用不了android studio,解决AMD无法使用Android studio问题
  12. html+angularjs+redis获取后台数据模拟京东/天猫的商品分类导航
  13. Linux文档结构和常用命令
  14. 网络安全一哥的奇安信发布了全球高级可持续威胁年度报告 值得学习
  15. 把手机当作电脑显示器指导参考
  16. 官方文档——AlwaysOn AG的先决条件、限制和建议
  17. 9条消除if...else的锦囊妙计,解决代码的坏味道!
  18. python解决水仙花数(自恋数)
  19. SQL语句中生成UUID方法
  20. 〖全域运营实战白宝书 - 运营角色认知篇⑤〗- “运营“ 是否有前途?

热门文章

  1. CAD图块全攻略:别羡慕我下班早,我的CAD软件会开挂!
  2. 浅谈HTTP缓存机制
  3. 解密Springboot内嵌Tomcat
  4. python调用tecplot
  5. java-IO流-输入输出流-复制文件问题
  6. C语言 计算cosx的近似值
  7. varchar2和varchar的区别
  8. 两种取汉字拼音首字母的方法.--函数为转抄而来.
  9. K2P在DYNV6自动更新外网IP地址的脚本
  10. 虚幻蓝图数据传递_数据产品的战略蓝图