译码器:扩展控制
输入3位二进制,输出为8个二进制位
        A2 A1 A0 ,   Y0 Y1 Y2...Y8
被选中为低电位0  
片选端、使能端,控制信号,是否被激活
译码器还具有两个控制端,为G1 G2,当G1为1且G2为0时,译码器按左侧真值表输出;否则全1

设计:

  1. 行为级(高级、抽象)
  2. 数据流描述(RTL描述)
  3. 结构描述(元件例化)

- Verilog HDL代码
输入信号:3位编码输入端A、B、C
                使能输入端G1,G2
输出信号:8位编码输出端Y[7:0]
/*******声明*******
文件名称
创建日期
功能描述:输入为3位二进制码,输出为8个二进制位
硬件平台:E4CE6R22N FPGA开发板
版本号:V1.0
*******************/

module decoder38(A,B,C,G1,G2,Y);//decoder38 为工程名,顶层实体名,文件名❗一致inputA,B,C;//3位2进制编码输入端,按键按下为0,C为最高位inputG1,G2;output[7:0]Y;//8位编码输出端,接LED8-LED1,输出0为亮reg[7:0]Y;//reg只能做输出,不能接收信号,不能用于A/Galways@(A or B or C or G1 or G2)//电平触发 组合逻辑特征beginif(G1==0)Y=8'b11111111;// 如果G1为0,则Y输出11111111else if(G2==1)Y=8'b11111111;  //如果G2为0,则Y输出11111111case({C,B,A})3'b000:Y[7:0]=8'b11111110;3'b001:Y[7:0]=8'b11111101;3'b010:Y[7:0]=8'b11111011;3'b011:Y[7:0]=8'b11110111;3'b100:Y[7:0]=8'b11101111;3'b101:Y[7:0]=8'b11011111;3'b110:Y[7:0]=8'b10111111;3'b111:Y[7:0]=8'b01111111;endcaseend
endmodule

- 数据流描述

module decoder38(A,B,C,G1,G2,Y);input A,B,C;input G1,G2;output [7:0]Y;wire [7:0]Y; //被赋值量必须为wire型wire               S;//S门控信号assign S=G1&~G2assign Y=……
endmodule

①assign连续赋值语句,被赋值语句的信号数据类型必须是wire型
②位连接运算符{}很有用,注意被连接量中间用逗号隔开
③过长语句可回车分成几行,编译系统可分辨

- 例化门元件

decoder38(A,C,B,G1,G2,Y);
input A,B,C;
input G1,G2;
output[7:0]Y;wire [7:0]Y;
wire  NC,NB,NA,NG2,S;not        u0(NG2,G2); //反相器
not        u1(NA,A);  //反相器
not        u2(NB,B);  //反相器
not        u3(NC,C); //反相器
and       u4(S,G1,NG2);  //二输入与门
nand     u5(Y[0],NC,NB,NA,S); //四输入与非门,输出Y
nand     u6(Y[1],NC,NB,NA,S);
nand     u7(Y[2],NC,NB,NA,S);
nand     u8(Y[3],NC,NB,NA,S);
nand     u9(Y[4],C,NB,NA,S);
nand     u10(Y[5],C,NB,A,S);
nand     u11(Y[6],C,B,NA,S);
nand     u12(Y[7],C,B,A,S);endmoule

20220407——组合逻辑电路 3-8线译码器相关推荐

  1. 数字逻辑之组合逻辑电路

    1.组合逻辑分析: 组合逻辑电路是指在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与电路以前状态无关,而与其他时间的状态无关.(即任意时刻的输出状态取决于该时刻的输入信号,而与信号作用前电路 ...

  2. 【数字逻辑】学习笔记 第四章 Part2 常用组合逻辑电路与竞争、险象

    文章目录 一.常用组合逻辑电路 1. 译码器 (1) 二进制译码器 74LS138(3/8译码器) a. 一般符号和图形符号 b. 74LS138功能表 c. 两片 `74LS138` 构成 `4-1 ...

  3. 数字电路之组合逻辑电路

    文章目录 思维导图 逻辑门 加法器 比较器 代码转换器 译码器与编码器 数据选择器(多路复用器) 多路分配器 奇偶校验器 逻辑门对应布尔运算,逻辑门组合对应布尔表达式,逻辑门组合的相互转换对应布尔表达 ...

  4. 数字电子技术-组合逻辑电路

    文章目录 一.组合逻辑电路的分析 二.组合逻辑电路的设计 三.组合逻辑中的竞争-冒险 四.若干典型的组合逻辑电路 4-1 编码器 4-1-1 普通编码器 4-1-2 优先编码器 4-1-3 典型编码器 ...

  5. 数字电子技术(四)组合逻辑电路

    组合逻辑电路 组合逻辑电路概述 组合逻辑电路特点 逻辑功能的描述 组合逻辑电路的分析与设计(重点) 一般分析方法 一般设计步骤 逻辑函数形式的变换 常用的组合逻辑电路模块 编码器 基本概念 74HC1 ...

  6. 数字电路 常用组合逻辑电路

    文章目录 4.3.1 编码器 4.3.2 译码器 4.3.3 数据选择器 4.3.4 加法器 4.3.5 数值比较器 4.4 组合逻辑电路中的竞争-冒险现象 4.4.1 竞争-冒险现象及成因 4.4. ...

  7. 数电4_2——常用的组合逻辑电路(1)编码器

    常用的组合逻辑电路编码器 编码器 1 普通编码器 1.1 电路特点 1.2 写出真值表 1.3 写出逻辑表达式 1.4 逻辑电路 2 优先编码器 2.1 电路特点 2.2 真值表 2.3 逻辑表达式 ...

  8. 【组合逻辑电路】——编码器

    目录 一.编码的定义 二.二进制编码器 三.二--十进制编码器 四.二--十进制优先编码器 一.编码的定义 广义上说,将某一信息用一串特定的符号按照一定规律予以表示的方法都称为编码. 能够完成编码功能 ...

  9. IC验证必备的数字电路基础知识(二):组合逻辑电路

    参考教材:数字电路与逻辑设计(第2版)邬春明 目录 1. 组合逻辑电路分析 2. 组合逻辑电路设计( 重点,设计视角,以练题为主) 3. 竞争冒险 4. 组合逻辑电路 4.1. 编码器 4.2. 译码 ...

最新文章

  1. pandas使用to_datetime函数把dataframe的字符串日期数据列转化为日期格式日期数据列( strings to datetime in dataframe column)
  2. 多线程Java服务器简单实现
  3. Advanced Auto Layout:Programmatically Creating Constraints
  4. C语言中链表的英文名字,数据结构C语言版 循环链表表示和实现(国外英文).doc
  5. CMOS图像传感器——工作原理
  6. P1726-上白泽慧音【tarjan,图论】
  7. 在项目中使用fastreport_如何在项目管理中使用里程碑
  8. PyCharm安装好vim后,怎么配置.vimrc
  9. mpvue 从零开始 女友的收纳盒 6 mpvue-entry入口管理
  10. 【华为云技术分享】ArcFace简介
  11. NDK在Linux下载配置以及C、C++编译配置(交叉编译)
  12. 微型计算机的中央处理器由什么组成,中央处理器由什么组成?
  13. 关于PS CC 不能直接拖图片的问题
  14. Pytorch(GPU)配环境原理:cuda+cudnn+pytorch配环境的每一步到底干了些什么?
  15. 全国青少年科技创新大赛全国青少年信息学奥林匹克系列比赛(大赛系列第12期)
  16. 统计方形(NOIP1997)
  17. 模拟两个神经元的连接,突触前神经元分别传递兴奋性和抑制性信号给突触后神经元(神经元模型使用HH方程)
  18. R语言经典模型BP神经网络
  19. 【CUDA编程笔记】(2)CPU与GPU之间的参数传递
  20. android 展示pdf,Android中显示在线PDF

热门文章

  1. TQ2440裸奔程序串口UART的PC机按键测试程序
  2. 离线条件下dbeaver连接oracle数据库
  3. adb连接各种模拟器
  4. 【基础】什么是网关以及网关作用
  5. SQL中的Exists 用法解释
  6. gets()和getchar()的用法
  7. 旅游景区游玩管理系统
  8. python怎么处理通达信ctp接口数据?
  9. 小米再次回购股票:斥资近1亿港元 传递市场信心
  10. 用Java写一个集合竞价抓涨停的策略