目录

引言

脚本仿真步骤分析

完整的脚本代码

保姆级使用教程

扩展


引言

之前对于工程一直采用的是vivado+questasim联合仿真的模式,对于大型工程来说这个是合适的,因为需要调用一些ip库和包括约束之类的,vivado的图形化界面做的很完善,基本是一站式服务了;而对于一个小demo来说,如果为此要新建一个工程的话操作还是有点复杂的,而且占据的空间也很大。并且对于一个合格的工程师来说,最好还是减少重复工作,毕竟已经21世纪了(虽然我还做不到),所以自己就闲的探索了一下基于脚本化的自动仿真流程,只需要有一个设计文件和TB文件即可对一些小demo完成仿真。

脚本仿真步骤分析

本工程的例子为对一个异步fifo的脚本化仿真测试

1、首先我们先创建一个.do文件,方法随意,我一般建一个txt,然后后缀改成.do,例如 auto_test.do

2、此do文件是在Questa/Modelsim的命令行中执行的,所以需要先退出当前工程,命令为:

quit    -sim

3、然后清除命令行的显示信息

.main   clear

4、仿真器需要创建一个物理目录(文件夹),将编译后的库文件放在其中,以便仿真的时候对其进行调用

vlib    ./lib
vlib    ./lib/work

5、创建逻辑库(work),并映射逻辑库到物理目录,编译工程之后的编译文件存放在该目录下

vmap    work    ./lib/work

6、编译Verilog 源代码,将编译得到的信息文件与编译的文件放到逻辑库里面,库名缺省编译到work本地库,文件按顺序编译。

主要是编译设计文件,测试文件,调用的IP核.v文件,相应的库文件,通配符./../xxx/ *.v,要注意编译的顺序.

NOTE:测试tb文件放在./tb文件夹下,设计文件放在./source文件夹下

vlog    -work   work    ./tb/*.v
vlog    -work   work    ./source/*.v

7、优化部分参数(-voptargs=+acc),链接到默认的work 库,启动仿真顶层测试逻辑库(work)里面的tb文件。

NOTE:这里的测试tb文件名字是tb_asyn_FIFO,使用的时候需要修改为自己tb的名字。

vsim    -voptargs=+acc    work.tb_asyn_FIFO

8、添加波形 add wave 测试顶层的名字/例化子模块的例化名字/子模块信号的名字

add    wave    -radix bin    tb_ex_shift_reg/o_lvds_d

添加分割线:不同的信号之间进行分割,语法格式是

add    wave    -divider    {分割线的名字}

NOTE:可以修改添加wave的方式为从wave.do中读取,wave.do为手动添加波形之后保存的文件

这里直接添TB顶层的所有信号

add     wave    tb_asyn_FIFO/*

9、开始仿真,可以自己设定仿真时间

run    1ms

完整的脚本代码

例子为对一个异步fifo的脚本化仿真测试

quit    -sim.main   clearvlib    ./lib
vlib    ./lib/workvmap    work    ./lib/workvlog    -work   work    ./tb/*.v
vlog    -work   work    ./source/*.vvsim    -voptargs=+acc    work.tb_asyn_FIFOadd     wave    tb_asyn_FIFO/*run    1ms

保姆级使用教程

1、首先创建一个自己的工程文件夹,如asyn_fifo,在里面创建两个文件夹,分别为source和tb,source文件夹存放所有的设计文件,tb文件夹存放所有的tb文件。

2、将脚本do文件和两个文件夹放在同一个目录中,如图所示

3.在questa/modelsim中change directory 到工程文件夹中

4.在命令行中执行do auto_test.do命令

5.之后仿真器便自动开始刷刷刷执行了

扩展

1、如果用到厂商的IP库,在脚本中也是可以添加进去的,但是我做的时候只针对小demo的实现,一般用不上,大家有需要的可以去查阅其他资料。

2、执行后仿之类的操作,仿真器也有相关的指令,这里也不再说明,理由同上。

显示状态机的状态

我们在Modelsim/Questasim中进行仿真的时候,经常会苦恼于状态机的状态跳转不够直观,因为一般状态机的编码都是格雷码或者二进制码。这时候我们可以在modelsim中直接显示状态机的名称而不是数字。

一般用的方法有三种,分别为

  • 在testbench文件中对设计文件中的各种状态进行映射
  • 在testbench文件中对设计文件中的状态机编码进行重定义
  • 使用虚拟对象显示状态机名称

在这里我使用的是第三种,也就是在tcl中进行操作,而不需要修改TB中的内容,对于设计可能会更加方便一些。

virtual type { {2‘b01 ONE} { 2'b10 ZERO} } state_typevirtual function {(state_type)/inst_fsm/state} fsm_stateadd wave -color pink /inst_fsm/fsm_state 

仿真波形变为

详细的介绍可以参考:在Modelsim仿真中显示状态机名称_kkfeng1002的博客-CSDN博客

参考文献

基于脚本的modelsim自动化仿真笔记 - IC_learner - 博客园

脚本化Questasim/Modelsim自动仿真——脱离联合仿真相关推荐

  1. modelsim与debussy的联合仿真

    本文主要讲述的是 modelsim与debussy的联合仿真. 前提:已经安装好 modelsim和debussy软件. 步骤: 1.将Debussy安装目录下share\PLI\modelsim_p ...

  2. Quartus 13.0和Modelsim SE 10.1a 联合仿真

    Quartus 13.0和Modelsim SE 10.1a联合仿真 1.首先在Quartus建立工程,编写HDL文件,进行编译:编译通过后编写testbench文件,再进行编译,直到通过没有错误.. ...

  3. ## modelsim与quartus 2 联合仿真,出现Error loading design

    ** modelsim与quartus 2 联合仿真,出现Error loading design ** 第一次用quartus2和modelsim联合仿真,跟着野火的教程来,不过有不想跟他一摸一样, ...

  4. modelsim独立仿真与联合仿真生成覆盖率流程(随笔)

    modelsim 覆盖率统计 无脚本 生成modelsim工程文件,代码编译成功 全选代码按这个设置 到library界面,打开work,选择写好的TB文件,选择仿真和覆盖率统计 进入仿真界面,点击左 ...

  5. FPGA学习笔记(六)Modelsim单独仿真和Quartus联合仿真及signaltap使用

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  6. Vivado和Modelsim联合仿真问题记录

    目录 写在前面 Modelsim安装 1.以管理员身份运行安装程序 2.去掉mgls.dll和mgl64s.dll文件"只读"属性,直接双击运行patch64_dll.bat 编译 ...

  7. matlab您的安装可能需要执行其他配置步骤_手把手超详细介绍MATLAB+RoadRunner+Unreal Engine自动驾驶联合仿真...

    RoadRuner是MathWorks新收购的自动驾驶场景构建工具,Unreal Engine是商业游戏引擎.RoadRunner创建驾驶场景,导入到Unreal Engine,与Simulink联合 ...

  8. 自动驾驶仿真(五)—— 基于Carsim、Prescan、Simulink的联合仿真

    自动驾驶仿真五--基于Carsim.Prescan.Simulink的联合仿真 1. 联合仿真流程 2. CarSim联合仿真配置要点 3. Prescan联合仿真配置要点 4. Carsim.Pre ...

  9. 【FPGA】vivado和modelsim联合仿真

    文章目录 二.遇到的问题 三. 参考资料: 二.遇到的问题 1. 错误一: # ** Fatal: (vsim-3693) The minimum time resolution limit (10f ...

最新文章

  1. 实锤!沙特新规,出货箱单必须显示条形码,发票必须盖章!
  2. qr分解求线性方程组_梯度下降求解线性方程组算例设计
  3. css-transform-案例-翻转牌效果
  4. java获取mysql时间格式化_Java与mysql的时间格式化问题,获取时间的上下午
  5. 【干货】300余份数字化资料包免费下载(报告、白皮书、方案、政策等)
  6. ON DUPLICATE KEY UPDATE单个增加更新及批量增加更新的sql
  7. 基础数据结构和算法概念
  8. 关于COM的Reg-Free(免注册)技术简介及实例讲解。
  9. 计算机名会影响电脑网络吗,电脑接网线会比连WiFi网速快吗【详细介绍】
  10. 小米电视共享计算机权限,小米电视局域网共享文件 小米盒子局域网共享视频通用方法...
  11. 有了域名空间服务器怎么做网站,有了域名和空间怎么建网站?
  12. Office Execl常用函数使用方法
  13. 手披云雾开鸿蒙,描写泰山的诗句不是整首诗、注明作者
  14. recover 没有捕获异常_Recover捕获异常
  15. 【错题】#10兰州烧饼——思考角度的转换
  16. 微信发红包测试用例设计
  17. UniPro助力半导体企业之低代码平台篇:高效协同快速响应
  18. 个人总结-oracle查询锁表、解锁语句
  19. Java 提供给第三方使用接口方法
  20. Java:IO流的概念、作用、分类

热门文章

  1. bug:生产问题,Golang解决csv文件用excel打开中文乱码问题及常见编码和BOM头关系
  2. 地铁线路管理系统的设计与实现_kaic
  3. 第一次运行TestNG测试类时报Cannot find class in classpath
  4. ZZULIOJ 2267: scholarship
  5. coursera python证书_IBM数据科学证书 | 你想要的一站式新手入门课!
  6. 13SQL - SQL通配符
  7. Pytorch的冻结以及解冻
  8. hadoop mapreduce相关类 FileInputFormat
  9. SuperMap iMobile for Android定位实现
  10. 从游戏角度看后台开发