文章目录

  • 使用Synplify综合的好处
  • synplify的教程
    • 方法1(无效)
    • 方法2
  • VIVODO工程
  • 遇到的问题

使用Synplify综合的好处

下面的说法来自网上:

  1. 综合时间更好,综合出来所使用的逻辑更少
  2. 综合的时序更好

在IC设计中,使用Synplify综合+Vivado组合比较多。synplify 用于生成网表,然后将网表倒入FPGA中,进行布局布线等操作。

synplify的教程

Libero集成开发环境中Synplify应用与提高-Microsemi(Actel) FPGA开发工具——周立功Libero集成开发
界面还是很简单的

勾选上Symbolic FSM Compiler和Resource Sharing。 双击logic constraints 打开fdc约束,如下所示


因为约束主要还是在后面vivado中进行约束,因此在synplify中我只约束了时钟。(这个在前面一张图右上角中,也有设置一次时钟周期)。如果是没有带vivado IP核的工程,就可以点击run生成网表文件了。

如果是有带vivado ip核的,那么还要添加ip核到工程中。(默认是在vivado以及有一个能够运行的工程了,或者也可以建立一个空的工程,要先创建ip核,导出ip核信息,synplify综合才能过。)

如果问题最下面的问题1,那么需要先reset output products, 在generate生成一次ip核内容。要有.xci文件、stub文件,dcp文件。


xci文件是后面vivado综合网表的时候使用;stub文件用于配合synplify第三方综合工具推断ip,下面是xilinx对于stub文件的解释。dcp文件


dcp文件是xilinx加密的hdl ip核代码,dcp参考

方法1(无效)

Vivado与Synplify联合设计FPGA

在synplify文件中,import -> add vivado ip -> 添加xci文件, 我试了好久,没有用,synplify综合会出error, 还是无法找到vivado ip核。

方法2

在synplify中,将vivado ip核先设置为黑盒,使用ip核输出的()_stub.v文件来提供相关信息。在文件列表中,把这个()_stub.v文件添加到synplify工程即可。

Run后在我们输出目录下,会有edf网表文件。

VIVODO工程

和平时工程不同的是,在新建工程的时候,Project Type选择 post-synthesis project(平时设计都是用RTL Project), 然后把edf网表文件添加到工程中。

添加我们添加ip核信息。这里不是重新创建ip核,而是添加之前synplify使用到的ip核xci文件,他会识别dcp文件添加到工程中一起综合。
最后添加xdc约束文件。工程目录如下图所示。

最后生成bitstream,下载到FPGA中。

遇到的问题

问题1:vivodo编译提示: Please open this sub-design and generate with synth_checkpoint_mode as ‘Singular’ in original project before adding it to current project. (或者找不到IP核的DCP文件)

解决方法:生成IP核的工程,reset ip核,重新生成一次。

如何使用Synplify综合vivado带IP核的工程相关推荐

  1. Xilinx vivado 常用IP核使用

    目录 1. Accumulator 12.0 2. Aurora 8B10B 11.1 3. Clocking Wizard 3.1. 时钟资源 4. Divider Generator 5.1 5. ...

  2. 弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩

    弟中弟级,基于Vivado的IP核封装以及仿真调用,FPGA入门小玩 IP核:知识产权核,指某一方提供的.形式为逻辑单元的可重用模块.IP核通常已经通过了设计验证,设计人员以IP核为基础进行设计,可以 ...

  3. Vivado 下 IP核 之ROM 读写

    目录 Vivado 下 IP核 之ROM 读写 1.实验简介 2.ROM IP 核简介 3.ROM IP 核配置 3.1.创建 ROM 初始化文件 3.2.单端口 ROM 的配置 加载数据文件,将 . ...

  4. modelsim 独立仿真vivado fifo IP核

    1.前言 vivado内自带仿真器,或者可以通过vivado启动第三方仿真工具,联合仿真.但是很多时候,我们希望脱离vivado,利用modelsim或者vcs等第三方仿真工具,高效地仿真.本文介绍如 ...

  5. Vivado FIFO IP核接口信号介绍

    1.1 Vivado FIFO IP核接口信号介绍 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado FIFO IP核接口信号介绍: 5)结束语. 1.1.2 ...

  6. Vivado MMCM IP核接口信号介绍

    1.1 Vivado MMCM IP核接口信号介绍 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado MMCM IP核接口信号介绍: 5)结束语. 1.1.2 ...

  7. vivado dds IP核笔记

    vivado dds IP核笔记 DDS IP核在vivado提供的GUI界面中,可以选择三种配置: Phase Generator and SIN/COS LUT (DDS) SIN/COS LUT ...

  8. vivado ROM IP核简单使用

    vivado ROM IP核简单使用 vivado配置 下面选择数据的存储深度和数据的位宽 ROM内存储的数据由coe文件初始化 下面是初始化coe文件的内容 memory_initializatio ...

  9. 基于vivado DDS ip核的DDS信号发生器(可调频调相)

    基于Vivado DDS ip核的DDS信号发生器: 在Vivado软件中调出DDS ip核进行设置,很多参数可以参考xilinx官方手册,比较重要的是System Clock系统时钟和Phase W ...

最新文章

  1. 时间统计%time和%timeit_python
  2. Docker将容器制作成镜像并提交到远程仓库
  3. php 7.0 curl图片上传,PHP 升级到7.4.0后,通过curl上传文件http_code报412
  4. r语言 去除双引号_tidyfst vs pandas(12):去除重复条目
  5. generator探幽(1)--koa中间件机制浅析
  6. 如何找到SAP ECC事务码升级到S4HANA后对应的新事务码
  7. Java异常处理throws/throw
  8. opencv机器学习线性回归_机器学习(线性回归(二))
  9. python 与零值比较,python – 使用另一个数据帧替换数据帧中的零值
  10. outlook qr码在哪里_高能手办团兑换码有哪些 高能手办团哪里兑换
  11. 【电源】开关电源、线性稳压电源
  12. 多线程并发测试工具类
  13. 平平淡淡的真,安安静静的情
  14. wordpress使用又拍云存储实现CDN加速使用心得
  15. EXCEL的COUNTIF和COUNTIFS函数的区别和联系
  16. Matlab 查阅、读取nc数据
  17. w7测算计算机分级,win7游戏分级系统
  18. Vivo手机获取文件管理器里的文件路径为空
  19. 广州车展直击:路特斯超高端矩阵驾临
  20. 关于Hystrix整合ribbon调用其他服务时 首次进入回退

热门文章

  1. git 拉取指定分支
  2. 如何利用eclipse的WTD自动部署一个webservice
  3. 墨者学院-密码学加解密实训(摩斯密码第2题)
  4. 微软识花 android版 (非官方)
  5. lower_bound和upper_bound详解
  6. 7-41 计算阶乘和
  7. 安卓报错:E/EGL_adreno: tid 2148: eglSurfaceAttrib(1338): error 0x3009 (EGL_BAD_MATCH)
  8. 雏凤清音——面向数据的前端编程方法
  9. 巅峰极客2022初赛 部分题解
  10. 弹性公网IP(EIP)