• 环形计数器
  • 扭环形计数器
  • 顺序脉冲发生器

环形计数器

将移位寄存器首位相接,连续不断的数据将在寄存器内循环右移。

如初始状态为1000,则电路的循环变化为:1000 --> 0001 --> 0010 --> 0100 --> 1000 ,可以把这个电路作为时钟脉冲的计数器。
状态利用:n个
反馈逻辑函数:

\begin{align}\notag
D_{0} = Q_{n-1}
\end{align}

扭环形计数器

若改变反馈逻辑函数(如下),则可以得到扭环形计数器(约翰逊计数器)。
状态利用:2n个
反馈逻辑函数:

\begin{align}\notag
D_{0} = {Q_{n}}'
\end{align}

顺序脉冲发生器

顺序脉冲发生器可以用移位寄存器构成。当环形计数器工作在每个状态中只有一个1的循环状态时,它就是一个顺序脉冲发生器。

Verilog 代码

module ringcouter(output  [3:0]   cnt_o,output          q0_o,output          q1_o,output          q2_o,output          q3_o,input           clk,input           rstn,input           en_i);
reg [3:0]  q;always@(posedge clk,negedge rstn)
beginif(!rstn)q <= 4'b1000;else if(en_i) q <= {q[2:0],q[3]};  //环形计数器
endassign cnt_o = q;//顺序脉冲输出
assign q0_o = q[0];
assign q1_o = q[1];
assign q2_o = q[2];
assign q3_o = q[3];endmodule

testbench

module ringcounter_tb;reg          clk;
reg          rstn;
reg          en_i;
wire  [3:0]  cnt_o;
wire         q0_o;
wire         q1_o;
wire         q2_o;
wire         q3_o;initial
beginclk  = 0;rstn = 1;#50    rstn = 0;#100   rstn = 1;en_i = 1;#800 $finish;
endalways #20 clk = ~clk;initial begin$fsdbDumpfile("test.fsdb");$fsdbDumpvars();
endringcouter u_ringcounter(.cnt_o(cnt_o),.q0_o(q0_o),.q1_o(q1_o),.q2_o(q2_o),.q3_o(q3_o),.clk(clk),.rstn(rstn),.en_i(en_i));endmodule

截图

参考资料

[1] 数字电子技术基础(第五版) 阎石主编

转载于:https://www.cnblogs.com/OneFri/p/6034393.html

移位寄存器型计数器与顺序脉冲发生器相关推荐

  1. FPGA Verilog HDL 系列实例--------顺序脉冲发生器

    Verilog HDL 之 顺序脉冲发生器 一.原理 在数字电路中,能按一定时间.一定顺序轮流输出脉冲波形的电路称为顺序脉冲发生器. 在数字系统中,常用来控制某些设备按照事先规定的顺序进行运算或操作. ...

  2. 【数电实验】移位寄存器与计数器

    实验四 移位寄存器与计数器 一 实验目的 1 掌握任意进制计数器的构成方法: 2 熟悉双向移位寄存器的使用方法. 二 实验内容 1 任意进制计数器的构成方法: 用中规模集成计数器74HC161和与非门 ...

  3. 实验六 移位寄存器及其应用

    目录 一.预习要求 二.实验目的 三.实验原理 1.移位寄存器 2.移位寄存器的应用 (1)用74LS194构成环形计数器 (2)用74LS194实现数据串行/并行转换 ①串行/并行转换器 ②并行/串 ...

  4. 【硬件电子】基础知识点学习记录

    眼图 USB信号质量判断通过下面哪个参数来判断? A 眼图 B 电压 C 信噪比 D 失真度 解析:眼图测试主要是用来检测高速串行传输的信号质量,本题选A. 眼图,是由于示波器的余辉作用,将扫描所得的 ...

  5. c语言程序设计夏宽理第三版答案,(100)西南大学2021计算机考研数据速览(特别更新版),民族脊梁袁隆平院士的母校!...

    结合录取名单,更新版区分了各专业录取考生初试成绩. 计算机与信息科学学院.人工智能学院各专业复试录取数据如下: 初试及复试科目如下: 808计算机专业基础综合 : 主要涉及操作系统与数据结构的相关内容 ...

  6. 西南大学考研电子信息907初试经验

    我是2021年参加西南大学研究生考试,报考的专业是电子信息专业,考的是英语二,数学二,专业课考的是907(计算机基础和数字电路),专业课100+.总的来说分数不算高也不算低吧.   英语和数学网上有太 ...

  7. 74ls161中rco是什么_数字电子技术常用时序逻辑功能器件国家精品课程.ppt

    <数字电子技术常用时序逻辑功能器件国家精品课程.ppt>由会员分享,可在线阅读,更多相关<数字电子技术常用时序逻辑功能器件国家精品课程.ppt(40页珍藏版)>请在装配图网上搜 ...

  8. 西南大学考研计算机907专硕2020年真题分享

    真题见文末 首先感谢道友提供的真题回忆版!之前在已经分享了考试科目和参考书目,还是有很多同学在咨询,这里再总结分享一次,求求你们不要再问考什么以及参考书是什么了!这次分享在真题里面一个不会再有人问了吧 ...

  9. (二十)【数电】(第六章 时序逻辑电路)计数器

    文章目录 A 计数器 A.a 异步计数器工作原理分析 A.b 同步计数器工作原理分析 A.c MSI集成计数器示例及其应用 A.d 基于MSI MSI计数器的任意进制计数器设计 A.e 移位寄存器型计 ...

最新文章

  1. vue 后台返回的文件流进行预览_基于 Vue3+Ts 后台前端管理系统Vue3-Admin
  2. 如何用staruml画包图_StarUML的9种图
  3. 基于百度地图的python开发服务端_python编程之API入门: (一)使用百度地图API查地理坐标...
  4. 每日一皮:中关村地铁站和普通地铁站的区别
  5. 月光博客 - 再谈软件保护中软加密和硬加密的安全强度
  6. 洪水同频率放大的方法_我们应该怎么选择放大器配件?
  7. bigquery_到Google bigquery的sql查询模板,它将您的报告提升到另一个层次
  8. 我发现Facebook Messenger漏洞可使安卓用户互相监听,获奖6万美元
  9. 力扣题目——705. 设计哈希集合
  10. 关于VBScript的运行环境
  11. 区块链 预言机 Oracle是什么 例子
  12. maven 打包命令的使用
  13. 两阶段网络DEA及其计算
  14. 问卷星刷问卷python_Python+Selenium刷问卷星问卷
  15. 【历史上的今天】4 月 13 日:Damn Small Linux 首次发布;谷歌关闭短网址服务;数学先驱出生
  16. 第四章 sysrepo共享内存机制
  17. Word批量转TXT宏
  18. go-kit微服务,服务注册与发现,负载均衡(二)
  19. Napster:生死难料,前途未卜
  20. 计算机数据表格方框,WPS表格使用心得:不容小觑的小方框 -电脑资料

热门文章

  1. 数据字典项设计实现方案
  2. 【JavaMap接口】HashMap源码解读实例
  3. Java编程:Java里的协程
  4. 【机器学习】判别式模型和生成式模型
  5. jquery 后台数据到前台展示
  6. Linux 下录屏制作 GIF 图片
  7. 数据清洗(一)-----------重复数据处理
  8. UVA 10004 Bicoloring (二分染色)
  9. CodeForces 14 E.Camels(dp)
  10. idea如何选择性合并其他分支的代码