《数字电子技术常用时序逻辑功能器件国家精品课程.ppt》由会员分享,可在线阅读,更多相关《数字电子技术常用时序逻辑功能器件国家精品课程.ppt(40页珍藏版)》请在装配图网上搜索。

1、数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 本章内容提要 1. 着重掌握 计数器 和 寄存器 的基本逻辑功能; 2. 会读给定集成器件的功能表,从中分析该集成器件的 正确接线方式; 3. 必须熟练掌握用已有的集成计数器构成任意进制计数 器的各种方法,包括 反馈清零法 和 反馈置数法(又包 含具体三种情况) 。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 本章目录 计数器 寄存器和移位寄存器 返 回 构成任意进制计数器 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 7 1 计数器 返 回 计数器概述 集成计数器 74LS161/163 集成计数器 74LS160。

2、/162 集成计数器 74LS193 集成计数器 74LS290 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 在数字电路中,能够记忆输入脉冲个数的电路。 计数器概述 定义 分类 ( 1)按 计数的功能 分 加法计数器 减法计数器 可逆计数器 (又称 加 /减计数器 ) 基本功能 统计时钟脉冲的个数,即实现计数操作。 功能 其它功能 可用于 分频 、 定时 、 产生节拍脉冲 等。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 ( 3)按 计数的数制 分 二进制计数器 十进制计数器 不规则计数器 (又称任意进制计数器) ( 2)按 计数的进制方式 分 同步计数器 异步计数器 。

3、(又称 并行计数器 或 电位 计数器 ) (又称 串行计数器 或 行波 计数器 ) 计数器概述 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS161/163 4位二进制同步加法计数器 一 74LS161的逻辑简图及功能 ET EP RD LD RCO CP A B C D QA QB QC QD 时钟脉冲 (上升沿有效) 异步 清零端(低电平有效) 同步预置数控制端 (低电平有效) 数据输入端 输出端 计数(使能)控制端 (高电平有效) 进位输出端 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 清零 预置 使能 时钟 预置数据输入 输 出 RD LD EP ET C。

4、P A B C D QA QB QC QD 0 0 0 0 0 1 0 A B C D A B C D 1 1 0 1 保 持 (全保持) 1 1 0 保持 (但 RCO0) 1 1 1 1 计 数 74L S1 61 功 能 表 RD0时 异步清零 。 RD1、 LD0,且有 CP上升 沿作用时, 同步并行预置数 。 RDLD1且 EPET1时,按 照 4位自然二进制码进行同步 二 进制 计数 。 RDLD1且 EPET0时,计数器状态 保持 不变。 74LS161是由 4个边沿结构 的 JK触发器构成,可以实 现 4位二进制计数 或者 1位 十六进制计数 。 RCOETQAQBQCQD 数。

5、字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS161的引脚排列图 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS163和 74LS161功能上基本相同,也同样可以 实现 4位二进制计数 或者 1位十六进制计数 ; 二者的逻辑简图和引脚排列图相同; 不同之处是 74LS163采用 同步 清零方式, 74LS161采用 异步 清零方式。 二 74LS163的功能 与 74LS161相比较而言 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS160/162 同步十进制加法计数器 74LS160采用 异步 清零方式 , 74LS162采用 同步 清零方。

6、式 。 相同之处 74LS160、 74LS162的引脚排列图、逻辑 功能示意图与 74LS161、 74LS163相同; 不同之处 74LS160和 74LS162是十进制同步加法计 数器, 【 计数过程 】 而 74LS161和 74LS163是 4位二进制(或 1位 十六进制)同步加法计数器。 区别 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS193 双时钟 4位二进制同步可逆计数器 RD 异步清零端, 高电平有效; CPU 加法计数脉冲输入端 CPD 减法计数脉冲输入端 A D 并行数据输入端; QA QD 计数器状态输出端; CO 进位脉冲输出端; BO 借位脉。

7、冲输出端; LD 异步置数端, 低电平有效; 多个 74LS193级联时,只要把低位的 CO端、 BO端分别与高位 的 CPU、 CPD连接起来,各个芯片的 RD端连接在一起, LD端连 接在一起,就可以了。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 清零 预置 时 钟 预置数据输入 输 出 RD LD CPU CPD A B C D QA QB QC QD 1 0 0 0 0 0 0 A B C D A B C D 0 1 1 加计数 0 1 1 减计数 RD1时, 异步 清零; RD0, LD 0时, 异步 预置数; 在 RD 0, LD1的条件下,作 加计 数 时,令 CP。

8、D1,计数脉冲从 CPU 输入; 作 减计数 时,令 CPU 1,计数脉冲 从 CPD输入。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS193的引脚排列图 第 7章 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS290异步十进制计数器 74LS290 CP0 CP1 R91 R92 R01 R02 QA QB QC QD 逻辑简图及功能 复位输入端 置位输入端 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 复位输入 置位输入 时钟 输出 R01 R02 R91 R92 CP QA QB QC QD 1 1 0 0 0 0 0 1 1 0 0 0。

9、 0 0 1 1 1 0 0 1 0 0 计 数 0 0 计 数 0 0 计 数 0 0 计 数 当 R01R021,且 R91R920时 输出被直接置零; 当 R91R921时 输出被直接置 9; 当 R01R020 和 R91R920时 在 CP下降沿的作用下实现 二五十进 制加计数 。 直接置零 直接置 9 实现二 五十加 计数 0 1 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS290的引脚排列图及实际芯片形状 ( a)引脚排列图 ( b)实际芯片形状 R92 R91 R02 R01 QA QD QB QC 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 计。

10、数器小结 计数器是一种应用十分广泛的时序电路,除用于 计数、分频外,还广泛用于数字测量、运算和控制, 从小型数字仪表,到大型数字电子计算机,几乎无所 不在,是任何现代数字系统中不可缺少的组成部分。 计数器 可利用触发器和门电路构成。但在实际工 作中,主要是利用集成计数器来构成。在用集成计数 器构成 N进制计数器时,需要利用 清零端 或 置数控制 端 ,让电路跳过某些状态来获得 N进制计数器。 【 即 用已有集成计数器构成任意进制计数器,将在下面重 点讲解 】 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 寄存器小结 移位寄存器 7 2 寄存器和移位寄存器 寄存器概述 基本寄存器 返 。

11、回 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 寄存器概述 定义 在数字电路中,用来 存放二进制数据或代码 的电路称 为 寄存器 。 组成原理 寄存器是由具有存储功能的触发器组合起来构成的 。 一个触发器可以存储 1位二进制代码, 存放 n位二进制代码的寄存器,需用 n个触发器来 构成。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 数据可以在移位脉冲作用下依次逐位右移或左移 。 按功能分类 ( 1)基本寄存器 ( 2)移位寄存器 只能并行送入数据,需要时也只能并行输出。 数 据 传 输 方 式 可见,它十分灵 活,因此用途也 很广。 并行输入、并行输出 串行输入、串行输。

12、出 并行输入、串行输出 串行输入、并行输出 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 CP D 1 1D C1 Q 0 Q 0 D 0 FF 0 1D C1 Q 1 Q 1 FF 1 1D C1 Q 2 Q 2 D 2 FF 2 1D C1 Q 3 Q 3 D 3 FF 3 CR R D R D R D R D 00 000123 nnnn QQQQ( 1) 清零 。 CR0, 异步清零 。 即有 012310111213 DDDDQQQQ nnnn ( 2) 送数 。 CR1时 , CP上升沿 送数 。 即有 ( 3) 保持 。 在 CR1、 CP上升沿以外时间 , 寄存器内容。

13、将 保持不变 。 返回 并入并出 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 (一)单向移位寄存器 【 以 4位右移移位寄存器为例 】 Q 0 Q 1 Q 2 Q 3 D i D 0 D 1 D 2 D 3 1D C 1 1D C 1 1D C 1 1D C 1 Q 0 Q 1 Q 2 Q 3 FF 0 FF 1 FF 2 FF 3 CP 移位时钟脉冲 右移 输出 右移 输入 Q 0 Q 1 Q 2 Q 3 并 行 输 出 CPCPCPCPCP 3210 nnni QDQDQDDD 2312010 , nnnnnnin QQQQQQDQ 21311201110 , 时钟方程 驱动方。

14、程 状态方程 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 Q 0 Q 1 Q 2 Q 3 D i D 0 D 1 D 2 D 3 1D C 1 1D C 1 1D C 1 1D C 1 Q 0 Q 1 Q 2 Q 3 FF 0 FF 1 FF 2 FF 3 CP 移位时钟脉冲 右移 输出 右移 输入 Q 0 Q 1 Q 2 Q 3 输入 现态 次态 D i CP nnnn QQQQ 3210 1 3 1 2 1 1 1 0 nnnn QQQQ 说明 1 1 1 1 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1。

15、 1 1 连续输入 4 个 1 nnnnnnin QQQQQQDQ 21311201110 ,功能表 溢出了 实现右移 1位 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 单向移位寄存器具有以下主要特点 1. 单向移位寄存器中的数码,在 CP脉冲操作下,可以依 次右移或左移。 2. n位单向移位寄存器可以寄存 n位二进制代码。 n个 CP 脉冲即可完成串行输入工作,此后可从 Q0 Qn-1端获 得并行的 n位二进制数码,再用 n个 CP脉冲又可实现串 行输出操作。 3. 若串行输入端状态为 0,则 n个 CP脉冲后,寄存器便被 清零。 数字电子技术 精品课程 第 7章 常用时序逻辑功。

16、能器件 (二)集成双向移位寄存器 74LS194 74LS194的逻辑简图及功能 RD S1 S0 QA QB QC QD A B C D 异步清零输入端 串行右移输入端 串行左移输入端 控制输入端 4位并行输入端 它们的状态 组合可以完 成 4种控制 功能。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 控制端 S1、 S0 的逻辑功能 引脚排列图 CPMMCR 01 工作状态 0 1 0 0 1 0 1 1 1 0 1 1 1 异步清零 保 持 右 移 左 移 并行输入 RD S1 S0 CP 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 CPMMCR 01 工作状态 。

17、0 1 0 0 1 0 1 1 1 0 1 1 1 异步清零 保 持 右 移 左 移 并行输入 RD S1 S0 CP 序 号 清零 RD 输 入 输 出 控制信号 串行输入 时钟 脉冲 CP 并行输入 QD QC QB QA S 1 S0 左移 DSL 右移 DSR D C B A 1 0 0 0 0 0 2 1 10 QDn QCn QBn QAn 3 1 1 1 D C B A D C B A 4 1 1 0 1 1 QDn QCn QBn 5 1 1 0 0 0 QDn QCn QBn 6 1 0 1 1 QCn QBn QAn 1 7 1 0 1 0 QCn QBn QAn 0 8 。

18、1 0 0 QDn QCn QBn QAn 第 1行寄存器异步清零; 第 2行当 RD1,CP1或 0时,保持; 第 3行并行输入同步预置数; 第 4、 5行串行输入左移; 第 6、 7行串行输入右移; 第 8行保持状态。 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 寄存器小结 寄存器是用来存放二进制数据或代码的电路 , 是一种 基本时序电路 。 任何现代数字系统都必须把需要处理的数 据和代码先寄存起来 , 以便随时取用 。 寄存器分为基本寄存器和移位寄存器两大类 。 基本寄 存器的数据只能并行输入 、 并行输出 。 移位寄存器中的数 据可以在移位脉冲作用下依次逐位右移或左移 , 。

19、数据可以 并行输入 、 并行输出 , 串行输入 、 串行输出 , 并行输入 、 串行输出 , 串行输入 、 并行输出 。 寄存器的应用很广 , 特别是移位寄存器 , 不仅可将串 行数码转换成并行数码 , 或将并行数码转换成串行数码 , 还可以很方便地构成移位寄存器型计数器和顺序脉冲发生 器等电路 。 【 可以在课后自行查阅相关资料 】 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 7 3 用集成计数器构成任意进制计 数器 第 7章 片与片之间级联构成更多位计数器 反馈置数法(单片集成计数器实现) 构成原理叙述 反馈清零法(单片集成计数器实现) 返 回 数字电子技术 精品课程 第 7章。

20、 常用时序逻辑功能器件 74LS161/74LS163正常计数时的状态转换图 实际芯片形状 每记录 16个 状态后回 0 第 7章 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS160/74LS162正常计数时的状态转换图 实际芯片形状 每记录 10个 状态回 0 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 74LS160/74LS162正常计数时的状态转换图 实际芯片形状 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 1 S R 1J 1K C1 R 1K 1J C1 1 R 1K 1J C1 1J S C1 R 1K R91 R92 R01 R。

21、02 CP0 CP1 QA QB QC QD FFA FFB FFC FFD QA QB QC QD 74LS290计数过程分析 74LS290的内部逻辑图 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 1 S R 1 J 1K C 1 R 1K 1 J C 1 1 R 1K 1 J C 1 1 J S C 1 R 1K R91 R92 R01 R02 CP0 CP1 QA QB QC Q D FF A FF B FF C FF D Q A Q B Q C Q D 74LS290计数过程分析 74LS290的内部逻辑图 Step1 写出各触发器的 时钟脉冲方程 FFA CPAC。

22、P0 (时钟源 CP0 10 ) FFB CPBCP1 (时钟源 CP1 10 ) 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 1 S R 1 J 1 K C 1 R 1K 1 J C 1 1 R 1 K 1 J C 1 1 J S C 1 R 1 K R91 R92 R01 R02 CP0 CP1 QA QB QC Q D FF A FF B FF C FF D Q A Q B Q C Q D 74LS290计数过程分析 74LS290的内部逻辑图 Step1 写出各触发器的 时钟脉冲方程 FFA CPACP0 (时钟源 CP0 10 ) FFB CPBCP1 (时钟源 C。

23、P1 10 ) FFC CPCQB ( FFB输出 QB 10 ) FFD CPDCP1 (时钟源 CP1 10 ) 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 1 S R 1J 1K C1 R 1K 1J C1 1 R 1K 1J C1 1J S C1 R 1K R91 R92 R01 R02 CP0 CP1 QA QB QC QD FFA FFB FFC FFD QA QB QC QD 74LS290计数过程分析 74LS290的内部逻辑图 Step1 写出各触发器的 驱动方程 FFA JAKA1 FFB JBQDn KB1 FFC JCKC1 FFD JDQBnQCn。

24、 KD1 Step2 写出各 状态方程 QAn1QAn CP010 QBn1QDnQBn CP110 QCn1QCn QB10 QDn1QBnQCnQDn CP110 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 当输入输出不同时,该计数器可以分别记录二五十进制, 具体设置如下 01 C P 01 nAnA QQ 01 C P 11 nBnDnB QQQ 01Q B1 nCnC QQ 01 C P B1 nDnCnBnD QQQQ 状态方程 Step3列出状态表 ( 1)当计数脉冲由 CP0输入,输出由 QA端引出时 对应 二进制计数器 只对应一个触发器 FFA,且 为二进制计。

25、数器 01 C P 01 nAnA QQ 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 01 C P 01 nAnA QQ 01 C P 11 nBnDnB QQQ 01Q B1 nCnC QQ 01 C P B1 nDnCnBnD QQQQ 状态方程 ( 2)当计数脉冲由 CP1输入,输出由 QBQD端引出时 对应 异步五进制加计数器 QDn QCn QBn CPB CPDCP1 CPCQB QDn1 QCn1 QBn1 0 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 0 1 1 0 1 1 1 0 0 1 0 0 0 0 0 0 五 进 制 计 数 数字电子技。

26、术 精品课程 第 7章 常用时序逻辑功能器件 01 C P 01 nAnA QQ 01 C P 11 nBnDnB QQQ 01Q B1 nCnC QQ 01 C P B1 nDnCnBnD QQQQ ( 3)当 QA与 CPB相连,计数脉冲由 CP0输入,输出由 QAQD端引出时 对应 异步十进制加计数器 QDn QCn QBn QAn CP0 CPB CPDQA CPCQB QDn1 QCn1 Q Bn1 QAn1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 0 0 。

27、1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0 0 十 进 制 计 数 数字电子技术 精品课程 第 7章 常用时序逻辑功能器件 01 C P 01 nAnA QQ 01 C P 11 nBnDnB QQQ 01Q B1 nCnC QQ 01 C P B1 nDnCnBnD QQQQ 状态方程 ( 3)当 QA与 CPB相连,计数脉冲由 CP0输入,输出由 QAQD端引出时 对应 异步十进制加计数器 QDn QCn QBn QAn CP0 CPB CPDQA CPCQB QDn1 QCn1 Q Bn1 QAn1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 0 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0 0 十 进 制 计 数。

74ls161中rco是什么_数字电子技术常用时序逻辑功能器件国家精品课程.ppt相关推荐

  1. 在设计四人抢答器中灯全亮_数字电子技术课程设计报告(四人抢答器).doc

    Word格式 完美整理 数字逻辑电路 课程设计报告 系 (部): 三 系 专 业: 通 信 工 程 班 级: 12 通 信 2 班 姓 名: 杨 超 学 号: 20120306201 成 绩: 指导老 ...

  2. 三人抢答器逻辑电路图_数字电子技术实验(3三人抢答器电路设计).ppt

    第三次实验要求 1.三人抢答器电路设计 三人抢答器参考电路1 三人抢答器参考电路2 三人抢答器参考电路3 三人抢答器参考电路4 三人抢答器参考电路5 2."111 1000 1001 101 ...

  3. 四位先行进位电路逻辑表达式_数字电子技术考试卷以及答案(4套)

    XX大学信息工程专业 <数字电子技术基础> 期终考试试题(110分钟)(第一套) 一.填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为 ().(). 2. ...

  4. 数字电路技术可能出现的简答题_数字电子技术复习题(本科)

    . . . 数字电子技术复习题(本科) 一. 简答题: 1 . 简述组合电路和时序电路各自的特点是什么? 答:组合电路的特点:任何时刻电路的稳定输出,仅取决于该时刻各个输入变量的取 值,组合电路是由门 ...

  5. 74ls20设计半加器_数字电子技术实验练习内容资料整理.doc

    数字电子技术实验练习内容资料整理.doc 数字电子技术实验练习内容实验二 TTL与非门的应用一.实验内容1用五个二输入与非门设计一个半加器.2用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关 ...

  6. 74ls161中rco是什么_什么是催化燃烧?如何选择催化燃烧设备?RCO和RTO有什么关系?...

    现在市面上催化燃烧的设备有很多且价格差异较大,往往阻碍我们选择最为合规有效的产品.什么是催化燃烧?催化燃烧.RCO和RTO什么关系?催化燃烧常用在什么行业?催化燃烧目前市场上都有哪些材质?催化燃烧设备 ...

  7. 74ls161中rco是什么_【别找了全在这】硬件工程师经典笔试题集锦!

    1下面是一些基本的数字电路知识问题,请简要回答之. (1) 什么是 Setup和 Hold 时间? 答: Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求.建立时间 (S ...

  8. 74ls161中rco是什么_芯片74ls160十进制计数器引脚图及功能_真值表_设计进制计数器方法...

    芯片74ls160十进制计数器中文资料 本文将为大家详细介绍芯片74ls160十进制计数器的中文资料信息,包括74ls160引脚图及功能.真值表.功能表.及设计24进制计数器等.希望能帮助大家更好认识 ...

  9. 画出传输门、三态门、开路门_第2章_数字电子技术基础(ppt课件)_ppt_大学课件预览_高等教育资讯网...

    第 2章 集成门电路 授课计划 教学内容 教学小结 一.授课计划 1.教学目标 1,掌握半导体二极管, 三极管和 MOS管的开关特性: 2,掌握基本 TTL与非门电路的工作原理, 了解 TTL数字 集 ...

最新文章

  1. 【Android源码】AlertDialog 源码分析
  2. 【Linux】linux下gzip的压缩/解压缩详解
  3. 各种组件的js 获取值 / js动态赋值
  4. QML中的import与C++中的区别与联系
  5. C语言:进制转换(整数、字符串)
  6. er图用什么软件_太牛逼!一款软件几乎可以操作所有的数据库!
  7. Vue三大核心之三(插槽)
  8. ASP.Net七大内置对象 (整理的不错,转过来参考)
  9. ORACLE 中通过证件号码获取性别
  10. RainMeter使用教程一篇
  11. 农村小伙从月薪2000多到年薪几十万,我的这条路大多数搬砖人都能走 ǃ
  12. labelImg安装所遇到的那些坑
  13. html的tab页面切换刷新,切换tab页,页面局部刷新,地址栏路径修改
  14. 阿里云ECS开放指定端口步骤
  15. IDEA的Translation翻译插件失效
  16. c语言模仿atm源代码,C语言ATM程序模拟
  17. Ubuntu下搭建hadoop出现Permission denied (publickey,password)的问题
  18. qq_seconds.html,qq英语签名
  19. python判断一个列表是否包含另一个列表_Python判断一个list中是否包含另一个list全部元素的方法分析...
  20. openssh mysql_§6-1 OpenSSH 的简介, ssh 和scp的使用

热门文章

  1. 《天龙八部》通关攻略 二
  2. 小狐狸横版游戏开发学习笔记(上)
  3. 通过数据分析提升客流量和销售额
  4. MODIS卫星遥感数据下载处理
  5. 技术管理者的几个实用技巧
  6. NLP(五十三)在Keras中使用英文Roberta模型实现文本分类
  7. 社区电子商务的商业模式
  8. 警告:No archetype found in remote catalog. Defaulting to internal catalo在远程目录中没有找到原型。默认为内部编录
  9. 小程序如何跳转公众号文章
  10. 基于asp.net823驴友信息平台旅游网站