IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。

前端设计的主要流程:

1、规格制定

芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的具体功能和性能方面的要求。

2、详细设计

Fabless根据客户提出的规格要求,拿出设计解决方案和具体实现架构,划分模块功能。

3、HDL编码

使用硬件描述语言(VHDL,Verilog HDL,业界公司一般都是使用后者)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过HDL语言描述出来,形成RTL(寄存器传输级)代码。

4、仿真验证

仿真验证就是检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。设计和仿真验证是反复迭代的过程,直到验证结果显示完全符合规格标准。仿真验证工具Mentor公司的Modelsim, Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分个人一般使用第一个-Modelsim。该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。

5、逻辑综合――Design Compiler

仿真验证通过,进行逻辑综合。逻辑综合的结果就是把设计实现的HDL代码翻译成门级网表netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。逻辑综合需要基于特定的综合库,不同的库中,门电路基本标准单元(standard cell)的面积,时序参数是不一样的。所以,选用的综合库不一样,综合出来的电路在时序,面积上是有差异的。一般来说,综合完成后需要再次做仿真验证(这个也称为后仿真,之前的称为前仿真)逻辑综合工具Synopsys的Design Compiler,仿真工具选择上面的三种仿真工具均可。

6、STA

Static Timing Analysis(STA),静态时序分析,这也属于验证范畴,它主要是在时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例(violation)。这个是数字电路基础知识,一个寄存器出现这两个时序违例时,是没有办法正确采样数据和输出数据的,所以以寄存器为基础的数字芯片功能肯定会出现问题。STA工具有Synopsys的Prime Time。

7、形式验证

这也是验证范畴,它是从功能上(STA是时序上)对综合后的网表进行验证。常用的就是等价性检查方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。形式验证工具有Synopsys的Formality。前端设计的流程暂时写到这里。从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路。

Backend design flow后端设计流程 :

1、DFT

Design ForTest,可测性设计。芯片内部往往都自带测试电路,DFT的目的就是在设计的时候就考虑将来的测试。DFT的常见方法就是,在设计中插入扫描链,将非扫描单元(如寄存器)变为扫描单元。关于DFT,有些书上有详细介绍,对照图片就好理解一点。DFT工具Synopsys的DFT Compiler

2、布局规划(FloorPlan)

布局规划就是放置芯片的宏单元模块,在总体上确定各种功能电路的摆放位置,如IP模块,RAM,I/O引脚等等。布局规划能直接影响芯片最终的面积。工具为Synopsys的Astro

3、CTS

Clock Tree Synthesis,时钟树综合,简单点说就是时钟的布线。由于时钟信号在数字芯片的全局指挥作用,它的分布应该是对称式的连到各个寄存器单元,从而使时钟从同一个时钟源到达各个寄存器时,时钟延迟差异最小。这也是为什么时钟信号需要单独布线的原因。CTS工具,Synopsys的Physical Compiler

4、布线(Place & Route)

这里的布线就是普通信号布线了,包括各种标准单元(基本逻辑门电路)之间的走线。比如我们平常听到的0.13um工艺,或者说90nm工艺,实际上就是这里金属布线可以达到的最小宽度,从微观上看就是MOS管的沟道长度。工具Synopsys的Astro

5、寄生参数提取

由于导线本身存在的电阻,相邻导线之间的互感,耦合电容在芯片内部会产生信号噪声,串扰和反射。这些效应会产生信号完整性问题,导致信号电压波动和变化,如果严重就会导致信号失真错误。提取寄生参数进行再次的分析验证,分析信号完整性问题是非常重要的。工具Synopsys的Star-RCXT

6、版图物理验证

对完成布线的物理版图进行功能和时序上的验证,验证项目很多,如LVS(Layout Vs Schematic)验证,简单说,就是版图与逻辑综合后的门级电路图的对比验证;DRC(Design Rule Checking):设计规则检查,检查连线间距,连线宽度等是否满足工艺要求,ERC(Electrical Rule Checking):电气规则检查,检查短路和开路等电气 规则违例;等等。工具为Synopsys的Hercules实际的后端流程还包括电路功耗分析,以及随着制造工艺不断进步产生的DFM(可制造性设计)问题,在此不说了。物理版图验证完成也就是整个芯片设计阶段完成,下面的就是芯片制造了。物理版图以GDSII的文件格式交给芯片代工厂(称为Foundry)在晶圆硅片上做出实际的电路,再进行封装和测试,就得到了我们实际看见的芯片。

参考文献:

[1]  原文地址. http://bbs.eetop.cn/viewthread.php?tid=424396

[2] 扩展阅读. liping09003 http://www.eetop.cn/blog/html/24/1174824-type-bbs-view-blog.html

转载于:https://www.cnblogs.com/dpc525/p/5143952.html

(转)IC设计完整流程及工具相关推荐

  1. 集成电路(IC)设计完整流程详解及各个阶段工具简介

    IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计. 前端设计的主要流程: 1.规格制定 ...

  2. 详解数字IC设计全流程

    数字IC设计流程 写在前面 数字IC设计的流程 1. 项目需求 2. 系统设计 3. 前端设计 4. 后端设计 最后的总结 写在前面 时隔一年,我已经找完工作,正式转行数字IC.对于自己的未来,我很清 ...

  3. 【数字IC验证快速入门】3、数字IC设计全流程介绍

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

  4. 从设计到流片丨IC设计全流程及要用到的EDA工具

    转载:从设计到流片丨芯片设计全流程及要用到的EDA工具 - 知乎 (zhihu.com) IC前端设计(逻辑设计)和后端设计(物理设计)的区分:以设计是否与工艺有关来区分二者:从设计程度上来讲,前端设 ...

  5. IC设计中不同eda工具波形文件(wlf/vcd/fsdb/shm/vpd)的区别及生成方法

    转自http://www.eetop.cn/blog/html/30/523930-36594.html 波形文件一般用于仿真后记录波形文件,用于做详细分析和研究.说一下几种波形文件WLF(Wave ...

  6. IC-CAD IC 设计流程及 EDA 工具

    IC 设计流程是每个 IC 从业者的必修课,虽然多数人的从业方向只是 IC 设计流程中的一部分,但是了解 IC 设计流程全貌对正确认识每个 IC 设计环节的作用是有很大帮助的. 对于一个 CAD 来说 ...

  7. 数字IC设计流程总结

    IC设计是一个很复杂漫长的过程,笔者以下图进行总结,其中后端总结的很模糊,后续了解学习后再进行补充.笔者会根据自己的理解,一步步的分享自己理解的设计流程.其中难免有问题错误,望同学老师指出,感谢!哔哩 ...

  8. 数字IC设计入门(10)初识数字芯片设计后端

    什么是数字IC后端设计? 完整的后端设计由后端半定制和后端全定制两个设计部分组成: 后端全定制设计是指在设计初期最先按照设计需求设计出的物理库单元,物理单元库由标准单元库.IP库及满足特殊需求的定制部 ...

  9. 数字IC设计经典书籍

    1 <Verilog HDL高级数字设计> 中文版和原著.这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高.书中例子及其丰富,涵盖了RIS ...

最新文章

  1. 第四篇 Gallery控件
  2. Android中自定义属性(attrs.xml,TypedArray的使用)
  3. Win10: tensorflow 学习笔记(3)
  4. CVPR 2017 CA:《Context-Aware Correlation Filter Tracking》论文笔记
  5. linux 播放器系统,在Linux上安装和使用开源视频播放器MPlayer
  6. DCMTK:读取DICOM图像,添加一个Curve并将其写回
  7. PHP网站使用JavaScript和Iframe简单实现部分刷新效果
  8. Codeup墓地-问题 A: 还是畅通工程
  9. 关于asp.net中partial,asp.net编译笔记
  10. hadoop27---netty中handler的执行顺序
  11. Linux笔记-bash中解决if语句中command not found问题
  12. python sklearn生成分类、回归任务数据集(可选切分训练数据/测试数据)
  13. C++【EasyX】校园导航系统(阶段性实训项目)
  14. ORA-02049: 超时: 分布式事务处理等待锁
  15. 小米cc9出厂线刷包_小米CC9手机忘记密码一键刷机解锁 | 线刷宝刷机工具刷机包下载...
  16. 监督学习的基本假设——联合概率分布,独立同分布
  17. 机器人正运动学与旋量
  18. 关于AD中如何绘制原理图及其封装
  19. 苹果录屏没声音_苹果耳机突然没声音
  20. MongoDB 数据库基本操作

热门文章

  1. 曙光服务器显示psu故障,排除故障a.c. -系列服务器重新启动
  2. 必然(THE INEVITABLE)——凯文-凯利(Kevin Kelly)
  3. vue格式化数字为财务数字金额
  4. 欧盟商标注册费用和注册程序
  5. java 26个英文字母_java 如何判断是否是26个英文字母
  6. python scrapy框架爬虫_Python Scrapy爬虫框架学习
  7. P7441 「EZEC-7」Erinnerung
  8. persistence什么意思_persistence
  9. maven 项目 改名字
  10. oracle 中的 CONCAT,substring ,MINUS 用法