使用 Verilog 语言设计译码器,输入和使能由拨码开关控制,通过 LED 显
示灯来观察译码结果。使能为 1 时,执行译码,使能为 0 时,不译码。
程序代码:
module decoder(a,en,y);
input[2:0] a;
input en;
output reg [7:0] y;
wire[3:0] sel;
assign sel = {en,a};
always @(a,en)
    case(sel)
     4'b1000: y = 8'b11111110;
     4'b1001: y = 8'b11111101;
     4'b1010: y = 8'b11111011;
     4'b1011: y = 8'b11110111;
     4'b1100: y = 8'b11101111;
     4'b1101: y = 8'b11011111;
     4'b1110: y = 8'b10111111;
     4'b1111: y = 8'b01111111; 
     endcase
endmodule 

verilog实现设计3*8译码器相关推荐

  1. 如何用Verilog HDL设计显示译码器

    Verilog HDL 设计显示译码器 逻辑原理: 7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD 码译码,然而数字系统中的数据处理和运算都是 ...

  2. (147)Verilog编程:使用3-8译码器实现全减器

    (147)Verilog编程:使用3-8译码器实现全减器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Verilog编程:使用3-8译码器实现全减器: 5)结束语. ...

  3. Verilog组合逻辑设计

    一.实验项目名称: Verilog组合逻辑设计 二.实验目的: 使用ISE软件和Verilog语言进行组合逻辑的设计与实现. 三.实验内容: 1.3-8译码器的设计和实现. 2.4位并行进位加法器的设 ...

  4. 数字系统设计实验六:用verilog实现4位led译码器电路

    1.问题重述: 用Verilog HDL设计一个4位LED显示器的动态扫描译码电路.要求:4个七段显示器共用一个译码驱动电路. 2.问题分析: a.首先是,我们需要明确的是什么是动态扫描译码电路.译码 ...

  5. 移位寄存器专题(verilog HDL设计)

    目录 移位寄存器简介 分类 4位右移位寄存器工作原理 1. 16位右移位寄存器 2. 16位左移寄存器 3. 串行输入并行输出寄存器 4. 并行输入串行输出移位寄存器 移位寄存器简介 移位寄存器内的数 ...

  6. 为什么在 Verilog HDL 设计中一定要用同步而不能用异步时序逻辑?

    本博文内容来源于:<从算法设计到硬件逻辑的实现>,仅供学习交流使用! 同步时序逻辑是指表示状态的寄存器组的值只可能在唯一确定的触发条件发生时刻改变.只能由时钟的正跳沿或负跳沿触发的状态机就 ...

  7. FIR滤波器设计(包括Verilog HDL设计以及MATLAB设计)

    FIR滤波器设计 滤波器原理:滤波器就是对特定的频率或者特定频率以外的频率进行消除的电路,被广泛用于通信系统和信号处理系统中.从功能角度,数字滤波器对输入离散信号的数字代码进行运算处理,以达到滤除频带 ...

  8. 巴克码相关器的verilog HDL设计

    巴克码相关器 巴克码相关器原理:巴克码相关器能够检测巴克码序列峰值,并且能够在1bits错误情况下检测巴克码序列峰值. 巴克码是20世纪50年代初R.H巴克提出的一种具有特殊规律的二进制码组.它是一个 ...

  9. 序列信号产生器的verilog HDL 设计

    一.状态转移型的序列信号产生器的verilog HDL 设计 用一个不断循环的状态机,循环产生序列信号001011.过程过于简单,我就不画状态图了. 直接给出verilog HDL设计代码: //有限 ...

  10. (19)System Verilog模块设计示例

    (19)System Verilog模块设计示例 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog模块设计示例 5)结语 1.2 FPG ...

最新文章

  1. 原始需求的来龙去脉和核心要求
  2. Jquery Mobile左右滑动效果
  3. 织梦自定义html文本,织梦自定义标签dede:sql根据自定义字段填的文章id获取相关文章...
  4. c++ 查找文件夹下最新创建的文件_云计算开发总结:搜索Linux文件和文件夹的方法...
  5. Nmap绕过防火墙脚本的使用
  6. 《CLR via C# 》第三版 英文原版电子书下载
  7. 爱词霸翻译接口 破解
  8. 计算机表格斜杠怎么打,excel表格打斜杠的方法步骤图详解
  9. 雾霾天气下运动目标检测技术MATLAB
  10. java源码分析-注解AnnotatedElement接口
  11. java姓名隐藏中间一个_如何正确的隐藏java语言中的名字
  12. 国赛优秀论文研读--2019C
  13. 电路中的电流采样IC选型
  14. 李笑来 css,CSS
  15. 单调队列————[USACO09MAR]向右看齐Look Up
  16. Network problems last Friday
  17. 魔改 Qt Creator 插件框架(附源码)
  18. Python是什么?Python能干什么?让我来告诉你
  19. 基于HLS协议的无插件HTML5流媒体播放
  20. “星链”(StarLink)计划与“虹云”工程,让手机连接太空WiFi成为现实!

热门文章

  1. 米家扫地机器人静音模式在哪_米家扫地机器人2代发布日期确定:带拖地功能...
  2. 设置路由器当作交换机使用
  3. office03-07兼容包
  4. 南京大学计算机系2019复试离散题目-逻辑与推理
  5. 【田间连着车间、佘太酒业这十年!
  6. 电脑需不需要安装杀毒软件?
  7. Linux如何不格式化挂载硬盘,linux下格式化硬盘与挂载硬盘
  8. 【GA MTSP】基于matlab遗传算法求解多旅行商问题(同起点不同终点)【含Matlab源码 1325期】
  9. 关于memset函数和赋值0x3f,2021-5-5
  10. 理解java的内存结构——运行时数据区域